News ASML: EUV-Lithografie für 10- bis 3-nm-Fertigung

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.344
Zum Auftakt des Investor Days hat der niederländische Fabrikausrüster ASML einen weiteren Auftragseingang für EUV-Systeme vermeldet. TSMC wird bereits im kommenden Jahr zwei zusätzliche NXE:3350B erhalten, die beiden bereits ausgelieferten NXE:3300B sollen zeitgleich zu NXE:3350B aufgerüstet werden.

Zur News: ASML: EUV-Lithografie für 10- bis 3-nm-Fertigung
 
Mal angenommen, dass es technisch (oder ökonomisch) nicht möglich sein wird in Pikometern zu produzieren, werden sich dann die entsprechenden Anschaffungskosten der EUV-Systeme für die Halbleiterhersteller (TSMC, GF, Intel & Co.) jemals amortisieren? Die Frage habe ich mir schon oft gestellt! Kann das hier jemand beurteilen?
 
Zuletzt bearbeitet: (Ergänzung)
ich glaub eher das das ein minus geschäft wird,
falls es fehlschlägt.
 
Ein Minusgeschäft wird es eher in 10-15 Jahren bei jetziger bekannter Mittel. ( vielleicht gibt es in 10 Jahren ja schon Graphen ) ...
Wenn man berücksichtigt, dass selbst beim Intel 14nm Broadwell nichts wirklich 14nm ist. ( Eher 42nm ) Genug Zündstoff vorhanden um die Kosten wieder reinzuholen.
 
naja, die Einbindung von kohlenstoff rörchen wär mal eine tolle Neuigkeit in Sachen fertigungstechnik
 
7nm ist aber echt schon total krank.. erstmal den 14nm step schaffen..
 
Wenn sie die EUV noch länger rauszögern, dann können sie es bald auch gleich sein lassen. So steckt man Abermilliarden in eine Entwicklung die man dann wenn sie mal zur Verfügung steht, vielleicht für 1-2 Nodes gebrauchen kann, bevor man gegen das harte physikalische Limit knallt gegen das auch EUV nichts hilft. Irgendwann sind einfach nicht mehr genug Silizium Atome übrig um noch einen Transistor draus zu bauen. Laut Wikipedia hat Silizium einen Atomradius von 0,1 nm. Also werden wir nicht mehr recht viel kleiner als 1nm werden können.
 
Minusgeschäft?
Wenn sie technisch nicht auf aktuellem Stand sind, bekommen die keine Aufträge mehr!
Höchstens welche mit größerer Strukturbreite und dann nur über den Preis.

Also vor allem TSMC als weltgrößter Auftragsfertiger kann es sich nicht leisten, in Sachen Forschuhg und Entwicklung auf die Bremse zu treten.
Die werden ja auch wie Intel mit ASML zusammenarbeiten.

Naja, schauen wir mal, ab wann die 16nm FinFET GPU Produktion reibungslos läuft und wieviel das in Sachen Stromverbrauch wirklich bringt :)


MfG
 
Wenn man bedenkt dass Viren (also die Biologischen :D) schon 50nm-100nm groß sind^^
 
xyz- schrieb:
naja, die Einbindung von kohlenstoff rörchen wär mal eine tolle Neuigkeit in Sachen fertigungstechnik

Jup da liegt auch die Zukunft. Silizium ist einfach langsam an ende. Man darf nicht vergessen bei 7nm oder noch weniger ist die Gefahr extrem hoch Leckströme zu bekommen. Mit den Röhrchen dürfte das Problem sich doch verringern.
 
@Cool

das ist das Problem sie versuchen solange wie möglich daran festzuhalten.
spätestens ab 3nm gibt's probleme mit einer simplen Schaltung aber das wollen viele nicht wahrhaben;)

auch die wärmeabfuhr wird immer kritischer:
1. durch die kleineren Die´s
2. durch das zusammenquetschen


aktiv an Kohlenstoff forschen doch sowieso nur Amd mit GF und Via,
wo täglich patente angemeldet werden.

Bei IBM gibt's sowieso nurnoch die Idee des Quantencomputer,
die haben sich darauf verschossen:o
 
Zuletzt bearbeitet:
Mit Graphen oder CNTs wird man nichts erreichen, denn der Subthreshold Swing ist bei diesen Materialien aufgrund des Fehlens einer echten Bandlücke einfach viel zu gering. Das sind eher Möglichkeiten für die Displaytechnologie, da sie hohe Leitfähigkeit und Transparenz bei potentiell niedrigen Kosten vereinen, zudem flexibel und damit technischen Oxiden potentiell überlegen sind.

Die angegebenen Längen beziehen sich ja immer auf die Gatelänge. Deswegen ist es schon möglich, dass ein 14nm Transistor ja dann eigentlich doch viel größer ist. Aber die Gatelänge ist das, was für den Transistor interessant ist.

Die ganze Sache ist insgesamt unglaublich. Man muss sich nur vorstellen, was dahinter steckt. Wenn ich eine 10nm Mehrfachbelichtung mache, muss ich Maske und Wafer auch jedes mal noch viel präziser positionieren - wer einmal eine Mehrfachbelichtung im µm Bereich von Hand gemacht hat, kann ungefähr abschätzen, was das bedeutet. Und wenn man bedenkt, dass das physikalische Limit für die 193nm-UV-Lithographie an sich bei rund 80nm liegt (und da ist die Immersionslithographie schon bedacht) und wir mittlerweile eine ganze Größenordnung darunter liegen - unglaublich.

An EUV wird allerdings kein Weg vorbei führen, da man anders einfach irgendwann keine Parallelprozesse mehr hinbekommt. Klar, man kann auch mit Ionenstrahlen schreiben, aber nur sequentiell. Daher wird der Technologievorsprung für ASML hier eine Goldgrube sein. Wann EUV aber großtechnisch angewandt wird, wird sich herausstellen.

Und danach funktionieren meine Resonanztunneltransistoren vielleicht irgendwann ja mal. Muss ja einen Ausweg zum MOSFET geben, wenn man nicht mehr kleiner wird und der Durchgriff nicht besser wird.
 
Das Problem bei der EUV-Lithografie sind die Laser die dazu benötigt werden. Das Ganze ist ein sehr ineffizientes System, da man aus 100 Watt Pumpleistung vielleicht 10 Watt EUV-Leistung bekommt, oder noch weniger. Man muss ja bedenken, dass die Strahlquellen IR-Licht erzeugen, was erst in UV-Licht umgewandelt werden muss. Alleine dabei geht schon ein großer Teil an Leistung verloren.
 
naja man könnte kurzzeitig,
auch einfach den L1 Cache massiv aufblasen was auch wiederum je nachdem 10-30% mehr Leistung bringt.

bzw. den l1 an Kapazität aufpumpen, den l2 an der rate, den 3. streichen und mit hbm cachen
 
GunnyHartman schrieb:
Das Problem bei der EUV-Lithografie sind die Laser die dazu benötigt werden. Das Ganze ist ein sehr ineffizientes System, da man aus 100 Watt Pumpleistung vielleicht 10 Watt EUV-Leistung bekommt, oder noch weniger. Man muss ja bedenken, dass die Strahlquellen IR-Licht erzeugen, was erst in UV-Licht umgewandelt werden muss. Alleine dabei geht schon ein großer Teil an Leistung verloren.

Den EUV Laser mit 10% Effizienz möchte ich aber mal sehen. Noch schwieriger sind die Spiegel. Linsen funktionieren ja nicht mehr.
 
xyz- schrieb:
auch die wärmeabfuhr wird immer kritischer:
1. durch die kleineren Die´s
2. durch das zusammenquetschen

Jup wobei ich 2. nicht verstehe. Ich mein schauen wir uns mal S2011 an. Da ist der DIE auch richtig schön groß warum das gleiche Prinzip nicht auch an den Consumer CPUs machen?

Bzgl. IBM würde ich nicht abschreiben. Das sie doch was aufm Kasten haben haben sie ja immer wieder und wieder gezeigt. Quantencomputer sind ganz klar die Zukunft aber bis die da ist dauert es noch Jahrzente oder noch länger.
 
Cool Master schrieb:
Jup da liegt auch die Zukunft. Silizium ist einfach langsam an ende. Man darf nicht vergessen bei 7nm oder noch weniger ist die Gefahr extrem hoch Leckströme zu bekommen. Mit den Röhrchen dürfte das Problem sich doch verringern.

Ich kann mich an die Diskussionen vor Jahren noch erinnern. Es hiess immer bei 10nm ist physikalisch Schluss. Kann mir jemand sagen wann bzw. wo nun physikalisch wirklich Schluss ist?
 
Die Technik bleibt nicht stehen. Nur weil wir etwas nicht kennen heißt es nicht, dass es etwas nicht gibt. Vielleicht gibt es irgendwann Transistoren(oder andere Dinge, die dann ihre funktion einnehmen) aus Quarks(Teilchen aus denen Atome bestehen. Wissen kann das keiner, nur mit aktueller Technik kann es nicht viel kleiner als 3nm werden.
 
Wie wir doch von Intel erfahren haben, sind die nicht einmal im Ansatz bei 14nm, sondern eher bei 42nm. Insofern wird hier über ein falsches Thema diskutiert. Es mag sein, dass 7nm eigentlich kaum noch möglich ist mit der aktuellen Technik, doch die Firmen sind noch weit weit weg selbst von 22nm.

Nach aktueller Informationslage gehe ich davon aus, dass es keinen einzigen Prozessor auf diesem Planeten gibt, der sich die reale Strukturbreite von 32nm anheften kann.

Wenn wir nun dies beachten, ist es doch eher fraglich ob es wirklich mit der aktuellen Technik möglich wäre, reale 7nm zu erreichen. Intel als Vorbild mit praktisch der besten Fertigung haben doch schon Probleme mit Broadwell. Dabei ist das kleinste an Broadwell 42nm.


Es ist einfach zu beachten, dass die nm Angaben der Hersteller salopp gesagt gelogen sind.
 
Zurück
Oben