News Globalfoundries: 7-nm-Fertigung und Milliardeninvestition angekündigt

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.352
Nachdem die Meldungen über den nächsten FinFET-Fertigungsschritt bei Globalfoundries bereits seit Wochen ein offenes Geheimnis sind, hat der Auftragsfertiger nun offiziell Farbe bekannt. Demnach folgt auf 14 nm direkt 7 nm FinFET, in den Fabrikstandort Malta sollen zusätzliche Milliarden fließen.

Zur News: Globalfoundries: 7-nm-Fertigung und Milliardeninvestition angekündigt
 
Ähm, seit wann wird eigentlich über EUV-Lithografie berichtet? Gefühlt geistert der Prozess schon seit Jahren durch die Presse, nur um im nächsten Satz wieder als "noch nicht nötig" bezeichnet zu werden. Ab wann kann man denn nun nicht mehr anders belichten? Und wie weit kann man die Fertigungsgröße noch schrumpfen?

PS.: Jeder Fortschritt ist mir immer willkommen, nur so recht kann ich mir nicht vorstellen, dass Intel seinen Vorsprung in den letzten Jahren so "leichtfertig" hergegeben haben soll.
 
wir nähren uns dem ende! Sehr gut, dann wird hoffentlich noch mehr in alternative halbleiter investiert. Seit ich vor Jahren mal nen bericht gelesen habe das man mit Graphen *theoretisch* 100 GHz CPUs bauen und einfach kühlen kann warte ich darauf. Und derzeit liest man ja gefühle alle 3 Monate von einem neuem heißen Kandidaten um Silizium abzulösen.
Was würde eigentlich aus den optischen Chips die mit licht statt Strom arbeiten?

Die kommenden Jahre werden jedenfalls nochmal spannend! :D

(es sei denn die beschließen uns einfach weiter mit mehr kernen zu zukleistern, dann sind die software entwickler gefragt die auch mal richtig auszulasten (und ja ich weiß das man nicht bliebige probleme parallelisieren kann :( ))
 
Zuletzt bearbeitet:
v_ossi schrieb:
PS.: Jeder Fortschritt ist mir immer willkommen, nur so recht kann ich mir nicht vorstellen, dass Intel seinen Vorsprung in den letzten Jahren so "leichtfertig" hergegeben haben soll.
Haben sie auch nicht. Höchstens in Teilen.

Bislang hat niemand außer Intel 14 nm High-Performance-CPUs (Logic) im Programm. Mit Broadwell-EP und Knight Landing auch echte Dickschiffe mit mehreren Hundert mm² DIE-Size. Und das seit 1,5 Jahren. Kaby-Lake kommt jetzt in 14+ FinFET, also schon einer verbesserten Generation. Der Rest am Markt ist entweder GPU oder irgendein Low-Power-ARM-Gedöns.

Alles andere, wie irgendwelche "dicken" ARM-Server-Chips (Applied Micro X-Gene 3, Qualcomm, etc.) oder auch AMD Zen gibt es de facto momentan nur auf PowerPoint-Folien oder bestenfalls als Engineering Sample. Von breiter Masse ist da noch nix zu sehen und selbst dann muss sich das entsprechende Silizium erst noch beweisen.

Ein gewisser Vorsprung ist m.E. definitiv noch da.
 
Mercsen schrieb:
Seit ich vor Jahren mal nen bericht gelesen habe das man mit Graphen *theoretisch* 100 GHz CPUs bauen und einfach kühlen kann warte ich darauf.
Da hast Du damals etwas falsch verstanden oder eine Seite hat mehr in die Forschungsergebnisse hineininterpretiert als realistisch machbar.

Auch auf Si-Basis kannst Du extrem hohe Taktraten erreichen, wenn es um einen einzelnen Transistor geht. Mehr war das bei Graphen damals auch nicht. Ein Transistor ;) Trotzdem hat Graphen als Material durchaus eine spannende Zukunft als Halbleiter.
 
GloFos 7nm nennen sie deshalb "7nm", weil das wieder ein IBM-FF/SOI-Kombiprozess ist, der erheblich bessere Leistungen als 10nm FF bieten dürfte. Der Sprung von 16FF+ auf N10 bei TSMC ist wieder ziemlich klein, was Performance angeht. 10nm dürfte ähnlich behandelt werden wie 20nm, also nur ein paar High-End SoCs und das wars - zu teuer, zu klein die Fortschritte. Intel setzt 10nm ja auch nur für einen 2-Kern GT2-Prozessor ein, also ~70mm², alle Prozessoren darüber bleiben 14nm (CoffeeLake).
IBM (GloFos Technologiepartner für 7nm) ist auch offenbar tatsächlich am weitesten, was die EUV-Anstrengungen angeht. Die wollen das möglichst früh einsetzen.
 
Zuletzt bearbeitet:
Der Vorteil (-30%) ist für eine Halbierung der Prozesstechnologie, aber ein ziemlich schlechter Wert...
 
5nm wird wohl meine nächste CPU werden.

Eine Halbierung findet wohl nicht statt, eher versucht, wie einst die XP1600+ CPUS, mit dem nm-Wert nur noch auf die Performance hinweisen.
Kannst ja mal Nachmessen :D
 
v_ossi schrieb:
Ähm, seit wann wird eigentlich über EUV-Lithografie berichtet? Gefühlt geistert der Prozess schon seit Jahren durch die Presse, nur um im nächsten Satz wieder als "noch nicht nötig" bezeichnet zu werden. Ab wann kann man denn nun nicht mehr anders belichten? Und wie weit kann man die Fertigungsgröße noch schrumpfen?

Naja, anfangs wurde gedacht, dass man bald zwingend UV/EUV braucht, dann hatte jemand die Idee, dass man per Interferenz-Belichtung auch Strukturen belichten kann, die kleiner als die Wellenlänge des Lichts sind - dadurch hat sich dann natürlich die Notwendigkeit der (teuren) UV-Lithografie nach hinten verschoben :D
Ist schon faszinierend, auf welche Ideen die Leute kommen können wenn Not am Manne ist :cool_alt:
 
TSMC wird agressiver und Intel verspätet sich...

Ist aber auch ein kleiner Unterschied ob Intel nun ein 5-10 Milliarden Transistor schwere High Performance CPU (45-160 Watt) mit dem Prozess macht oder TSMC einen (2 Watt) Smartphone Chip ;)
 
v_ossi schrieb:
Ähm, seit wann wird eigentlich über EUV-Lithografie berichtet? Gefühlt geistert der Prozess schon seit Jahren durch die Presse, nur um im nächsten Satz wieder als "noch nicht nötig" bezeichnet zu werden.

AMD hat schon vor 10 Jahren von EUV geschwärmt.
 
Mercsen schrieb:
es sei denn die beschließen uns einfach weiter mit mehr kernen zu zukleistern
Immer noch besser mehr Kerne als etliche Jahre lang 4 Kerne und die gleiche Architektur mit minimalen Verbesserungen ;)
 
"Mit ähnlichen Chips wie heute, rechnet Globalfoundries vor, könne dank der neuen Fertigungstechnik beispielsweise ein ARM-basierter Cortex A72 mit bis zu 3,5 GHz arbeiten."

Lol, 5 Sekunden bevor ich den Satz gelesen habe, dachte ich sarkastisch "Prima, dann können sie noch schnellere Prozessoren einsetzen".
Ich finde das absolut affig, so ein Ding ist doch primär zum Telefonieren und ein bissel Internet da und nicht um das Universum zu berechnen, oder bin ich da outdated?
Die sollen die Dinger sparsamer machen, nicht schneller...
 
Zuletzt bearbeitet von einem Moderator:
Das wirklich interessante daran ist, dass sie selbst zugeben, 7nm nicht 7nm ist. Wenn man von 14nm auf 7nm nur 30% kleiner wird ist das mathematisch nicht plausibel. In diesem Fall wären 50% erklärbar.

Mal wieder alles nicht so genau, Hauptsache der Käufer denk er hat was tolles gekauft :D

Und für die EUV Jungs:
Zu meiner Ausbildungszeit 05-08 hab ich schon gelernt das EUV nur eine Brückentechnologie ohne große Haltbarkeit ist. Zu dem Zeitpunkt war u.A. Zeiss bereits damit beschäftigt die ersten Hohlspiegeloptiken für Belichter zu entwerfen. Denn alle aktuell bekannten Linsenoptiken werden für noch kürzeres UV undurchlässig. Und am Ende liegt das Geheimnis zur Berechnung der Numerischen Apertur und des "Deepth of Focus" für Belichtung in der Wellenlänge des Lichtes. Und diese muss mit kleineren Zielobjekten zwangsläufig kleiner werden um scharfe Kanten zu erzeugen. Das ist bis heute bei der Technik nicht mehr zu 100% gegeben da man bereits über das Limit geht, da die neue Technik noch nicht Kurzfristig bereit ist. Unter anderem da man dann sehr wahrscheinlich unter Vakuum belichten muss. Das wieder ist kritisch am Fotolack, da dieser gerne noch Lösemittel ausgast.

Alles nicht so einfach wie es solche News immer vermuten lassen.
 
deswegen werden euv systeme auch nur dann kommen wen einfach nichts mehr geht.

nur muss es jetzt eben erforscht werden und nicht wen karl heinz merkt das bei 7nm nur 1 von 1000 chips funktioniert :p

Kann auch gut sen das EUV nur 1 oder 2 generationen gebraucht wird und somit ein sehr teurer spaß wird.

bestes beispiel war die vorhersage 10 nm euv spätestens 7nm muss dann jeder.

stand aktuell vor 7nm wird keiner euv nutzen.

also bei gf dann 5 nm und tsmc woll auch 5nm (st dann 7 nm intel.)

Intel spricht davon 7 nm könnte ohne Funktonieren sie testen ja aktuell ohne. ( also 5nm gf und tsmc)
 
Kudel schrieb:
"Ich finde das absolut affig, so ein Ding ist doch primär zum Telefonieren und ein bissel Internet da und nicht um das Universum zu berechnen, oder bin ich da outdated?
Die sollen die Dinger sparsamer machen, nicht schneller...

Aha und wo steht der Part, dass ARM Chips jetzt nur in Smartphones verbaut werden dürfen ?
 
Ich glaube wenn es so weitergeht ist in spätestens 10 Jahren schluss mit dem Mooresches Gesetz zumindest was den diesbezüglichen shrink der Transis betrifft, ansonsten kann man ja dann wenn beim µm-prozess nichtsmehr passiert iwi die Diegröße erhöhen und dennoch mehr Transis draufpappen... ;-)
 
Zurück
Oben