Notiz EUV-Lithografie: Intel verkauft weitere Anteile vom Fabrikausrüster ASML

Ich bin ja Technikbegeistert (solange es nicht völlig Schwachsinn ist), kann mir jemand schlüssig erklären wieso 450mm Wafer so exorbitant schwerer zu produzieren sind als 300mm? Ich würde als "Laie" eher denken, dass es schwieriger ist das Fertigungsverfahren zu verkleinern als die Wafer größer zu produzieren
 
Soweit ich das weiß ist es bei größeren Wafern schwierig diese sehr homogene Struktur des Siliziums hinzubekommen. Meine auch, dass die Wafer "gezogen" werden und dass das mit größeren deutlich schwieriger ist.
 
Beyazid schrieb:
kann mir jemand schlüssig erklären wieso 450mm Wafer so exorbitant schwerer zu produzieren sind als 300mm?

Sind sie nicht - alle Schwierigkeiten ließen sich wohl in den Griff bekommen. Aber 450mm-Wafer-Anlagen wären so viel teurer, daß das größte Problem die Wirtschaftlichkeit ist. Es rentiert sich schlicht nicht, weiter in die Entwicklung zu investieren, da der Betrieb im Vergleich zu den etablierten 300mm-Wafer-Anlagen unrentabel wäre.
Und man darf nicht vergessen, die meisten Kunden brauchen nicht einmal die Kapazität einer 300mm-Anlage; sehr viel wird noch problemlos auf 200mm-Wafern oder kleiner produziert.
In absehbarer Zeit wird das auch so bleiben, vielleicht werden wir sogar nie 450mm-Wafer sehen...

Beyazid schrieb:
Ich würde als "Laie" eher denken, dass es schwieriger ist das Fertigungsverfahren zu verkleinern

Auch bei den Fertigungsverfahren ist die Wirtschaftlichkeit eines der größten Probleme. Nicht nur die Chipproduktion wird von Schritt zu Schritt so viel teurer, daß die Vorteile der Minimierung fast wieder aufgefressen werden, sondern auch die Chipentwicklung verteuert sich z.Z. jedesmal um den Faktor 2. Es sind schlicht nicht genug Kunden auf der Welt vorhanden, die sich das immer weiter leisten können. Das ist auch der Antrieb dahinter, daß die Foundries immer mehr Zwischenschritte bei Ihren Herstellungsprozessen anbieten, die insbesondere darauf abzielen, mit nur wenig Mehraufwand gegenüber dem Grundprozeß entwickelbar zu sein.
 
Zuletzt bearbeitet von einem Moderator:
@Beyazid

Mit 300mm bzw. 450mm ist der Durchmesser der Siliziumscheibe (Wafer) gemeint, die als Substrat für Chips dienen. Das hat nichts mit den Strukturbreiten der Bauelemente zu tun, mit denen die logischen Schaltungen der Chips realisiert werden.
 
Zuletzt bearbeitet:
Die 450mm sind sehr schwer zu handhaben, zb durchbiegung beim Transport (% Ausschuss)/max. Oberflächenabweichung für die Belichtung, noch kompliziertere flatfield objektive, Homogenität der chemische reaktion beim ätzen,...

Irgendwann überwiegen werden lokale Unterschiede zu groß als dass es gut skalierbar bleibt
 
Und man braucht sehr viele Anlagen neu und die sind nicht billig. Allein das EUV System wiegt bis zu 180 Tonnen und wird in 40 Containern geliefert. Soll um die 70 Mio. kosten.

Wafer komplett fertigen dauert Wochen, hunderte Einzelschritte sind notwendig. Alles müsste auf die enorme Größe angepasst werden usw.
Wahrscheinlich würde Intel ne Milliarde ausgeben durch aufbauen und testen bevor der erste richtige Wafer durch ist.
 
zusätzlich braucht man 20% mehr silizium, da der wafer 20% dicker wäre (laut spezifikation, falls das reicht um der durchbiegung entgegen zu wirken)
sofern man also nicht deutlich mehr als 20% mehr aus nem 450mm wafer rausholen kann, bringt es nix.

es wird waferabschnitt nach waferabschnitt belichtet -> kaum geschwindigkeits vorteil


energiekosten müssen um faktor 2,5 gesenkt werden,
längere zeit liegt wafer rum, mehr chance für verunreinigung.
durchbiegung etc.

glaub wird werden noch sehr lange bei 300m bleiben.
Ergänzung ()

smalM schrieb:
…Sind sie nicht - alle Schwierigkeiten ließen sich wohl in den Griff bekommen…

doch, deutlich schwieriger.
man muss günstiger werden mittelfristig, man muss durchbiegung beachten, eigenschwingungen, behandlung/veredelung des wafers wird deutlich komlizierter bei 450mm statt 300mm. kann es nicht unendlich dick machen, längere zeit ...
 
Zuletzt bearbeitet:
Mr.Smith schrieb:
doch, deutlich schwieriger.
man muss günstiger werden mittelfristig, man muss durchbiegung beachten, eigenschwingungen, behandlung/veredelung des wafers wird deutlich komlizierter bei 450mm statt 300mm. kann es nicht unendlich dick machen, längere zeit ...

Die Frage war, ob die Einführung von 450mm-Wafern an technischen Schwierigkeiten gescheitert ist.
Nein, ist sie nicht.
Sie ist an der Unrentabilität gescheitert, d.h. die erwarteten Kosten haben den erwarteten Nutzen überschritten und die Entwicklung wurde deshalb aus rein wirtschaftlichen Erwägungen eingestellt.
 
Rein aus wirtschaftlicher Sicht: ASML ist quasi Weltmarktführer, Gewinne und Umsatz sind zu erwarten. Was hat also INTEL mit dem Geld vor ?
 
Am Liquiditätsproblem wird es bei Intel nicht liegen. Eventuell wissen die einfach mehr. Vielleicht funktioniert die EUV Lithografie doch nicht wie geplant und man zieht sich aus dem gescheiterten Projekt zurück.
 
Zurück
Oben