Empa
Cadet 4th Year
- Registriert
- Juni 2010
- Beiträge
- 82
Hey,
ich muss mich bezüglich meiner Diplomarbeit in VHDL einarbeiten. Leider hatten wir nie etwas in der Richtung gehabt, also musste ich es mir selber beibringen.
Nun habe ich Code für ein VGA Controller geschrieben, welcher leider nicht funktionierte. Also reduzierte ich die komplexität auf nur einen einfachen Counter, welcher nach 2 sekunden die LEDS toogelt. Lauft Behavior Simulation funktioniert das alles ohne Problem. Leider machen die Post-Synthesis und Post-Implemantation Simulation nicht mit (daher beim aufspielen auf den FPGA passiert auch nichts). Habe auch schon eine andere Software fürs Simulieren probiert ohne Erfolg
Könnte mir bitte einen Stoß in die richtige Richtung geben bzw. mir sagen warum das nicht funktioniert?
Sitze jetzt schon nen Stück dran und verzweifle langsam...
FPGA: Zynq-7000 series (Zedboard)
Suite: Vivado 2013.3 / ModelSim zur überprüfung
ich muss mich bezüglich meiner Diplomarbeit in VHDL einarbeiten. Leider hatten wir nie etwas in der Richtung gehabt, also musste ich es mir selber beibringen.
Nun habe ich Code für ein VGA Controller geschrieben, welcher leider nicht funktionierte. Also reduzierte ich die komplexität auf nur einen einfachen Counter, welcher nach 2 sekunden die LEDS toogelt. Lauft Behavior Simulation funktioniert das alles ohne Problem. Leider machen die Post-Synthesis und Post-Implemantation Simulation nicht mit (daher beim aufspielen auf den FPGA passiert auch nichts). Habe auch schon eine andere Software fürs Simulieren probiert ohne Erfolg

Könnte mir bitte einen Stoß in die richtige Richtung geben bzw. mir sagen warum das nicht funktioniert?
Sitze jetzt schon nen Stück dran und verzweifle langsam...
FPGA: Zynq-7000 series (Zedboard)
Suite: Vivado 2013.3 / ModelSim zur überprüfung
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ClockLED is
Port (
--CLK : in std_logic; -- only in non-Simulation
LEDS : out std_logic_vector(7 downto 0)
);
end ClockLED;
architecture Behavioral of ClockLED is
type state_LEDS is (CNT1, CNT2, SETLEDS); -- defines the states
signal state_LED : state_LEDS;
signal LEDACTIVE : std_logic_vector(7 downto 0) := "11100011";
signal SUM:integer range 0 to 2000005 := 0;
signal CLK: std_logic;
begin
---------------CLK Simulation------------
process
begin
wait for 500 ns;
CLK <= '1';
wait for 500 ns;
CLK <= '0';
end process;
-------------Counter and toogle--------------
process(CLK)
begin
if rising_edge(CLK)THEN
case state_LED is
When CNT1 =>
if SUM>2000000 then
state_LED<=SETLEDS;
else
SUM <= SUM+1;
end if;
When SETLEDS =>
SUM<=0;
LEDACTIVE<= not LEDACTIVE;
state_LED<=CNT1;
When others =>
state_LED<=CNT1;
end case;
end if;
end process;
LEDS<=LEDACTIVE;
end Behavioral;