EUV-Fertigungstechnik: Die Hälfte aller EUV-Scanner steht bei TSMC

Volker Rißka
28 Kommentare
EUV-Fertigungstechnik: Die Hälfte aller EUV-Scanner steht bei TSMC
Bild: ASML

Bereits vor einer Woche erstmals als Zahl aufgetaucht untermauert TSMC im Rahmen seines Technologie-Symposiums seine Vormachtstellung bei der EUV-Lithografie. Demnach sind mehr als 30 Systeme von ASML in den Fabriken im Einsatz, sogar 60 Prozent des weltweiten EUV-Wafer-Ausstoßes kommen von TSMC.

Wie Medienberichte aus Asien zeigen, hat TSMC damit 50 Prozent mehr Geräte im Serieneinsatz als Mitbewerber Samsung, der auf 20 EUV-Installationen kommen soll. Dazu passen die Präsentationsfolien, die Anandtech zeigt: 60 Prozent aller mit EUV belichteten Wafer kommen von TSMC, die restlichen 40 Prozent würden demnach auf Samsung entfallen – auch hier liegt TSMC entsprechend 50 Prozent vorn. Keine anderen Hersteller produzieren aktuell mit der Technologie. Weitere Details zum Thema liefert der ComputerBase-Bericht „Was steckt hinter der EUV-Lithografie?“.

EUV-Kapazität von TSMC
EUV-Kapazität von TSMC (Bild: Anandtech)