Backside Power Delivery: Auch Samsung setzt ab 2 nm auf neue Stromversorgung

Volker Rißka
36 Kommentare
Backside Power Delivery: Auch Samsung setzt ab 2 nm auf neue Stromversorgung
Bild: The Korea Herald

Es ist neben Gate-All-Around (GAA) eine der großen kommenden Neuerungen: Backside Power Delivery. Alle drei Branchenriesen setzen auf die neue Stromversorgung der Transistoren, Intels Ankündigung erfolgte als erstes, im Frühjahr bestätigte TSMC den Einsatz zur Mitte des Jahrzehnts. Nun folgt auch Samsung.

Von der Vorder- auf die Rückseite

Das Thema Backside Power Delivery (BPD), also die Stromversorgung nicht traditionell von der Vorderseite oder von „oben“ sondern von der Rückseite, rückte zuletzt stärker in den Fokus. Der Grund ist dabei ziemlich naheliegend: Nahezu jeder Hersteller sucht sein Heil aktuell im Stapeln von Chips, also dem Gang von bisherigen Chips primär nebeneinander platziert hin zur dritten Dimension. Doch dort gibt es viele Limitierungen, unter anderem ist eine davon die Stromversorgung der Chips und mögliche Interferenzen sowie weitere Probleme, die daraus entstehen.

Backside Power Delivery könnte einige dieser Probleme angehen und sogar Möglichkeiten für neue Konzepte auftun. Dabei wird auch an unterschiedliche Abstufungen gedacht von günstiger Variante bis hin zu den sehr komplexen und teuersten Lösungen – es gibt nicht nur eine Herangehensweise. Ein weiterer positiver Nebeneffekt ist, dass es der Skalierung hilfreich ist, am Ende wird das Gesamtkonstrukt deutlich effizienter.

Frontside Power Distribution hat ihre Limits
Frontside Power Distribution hat ihre Limits (Bild: Applied Materials)

Intel will es zuerst nutzen

Erkannt haben das spätestens seit der veröffentlichten Grundlagenforschung durch das belgische Forschungsinstitut imec im Jahr 2019 alle großen Unternehmen und schlagen einen entsprechenden Weg ein, denn ohne solch eine Lösung wird es schwer, konkurrenzfähig zu bleiben. Intel ging bereits vor über einem Jahr in die Offensive und kündigte für das Jahr 2024 die Einführung von PowerVIA an. Intel liebt es wie üblich, eigene Marketing-Begriffe für Standard-Technologien zu nutzen, am Ende steckt dahinter aber nichts anderes als ihre Version von Backside Power Delivery.

PowerVia is Intel’s unique, industry-first implementation of backside power delivery – eliminating the need for power routing on the front side of the wafer and providing optimized signal routing while reducing droop and lowering noise.

Intel

Branchenriese TSMC geht die Thematik verhaltener an. Erstmals bestätigte der weltgrößte Auftragsfertiger jedoch im Frühjahr auf seinem Technology Symposium, dass man auch an dieser Technologie und deren Umsetzung forsche. Sie werde ab der GAA-Fertigung genutzt, allerdings nicht direkt zu Beginn, sondern erst in den Generationen darauf. Bei TSMC dürfte es damit vermutlich auf das Jahr 2026 hinauslaufen, Intel peilt seine Einführung bereits für 2024 an.

Concept of BSPDN
Concept of BSPDN (Bild: imec)

Samsung ist nun die dritte Kraft im Bunde und war letztlich schon unter Zugzwang, nun ebenfalls Stellung zu beziehen. Auf der SEDEX 2022 in der vergangen Woche präsentierte Samsung seine ersten Ansätze, die natürlich in die gleiche Richtung gehen. Hierbei werden Zahlen genannt, die von bis zu 44 Prozent mehr Leistung und einer 30 Prozent gesteigerten Effizienz sprechen, wenn sie bei einem 2-nm-Prozess genutzt werden. Was allerdings die Vergleichsbasis ist, geht aus dem kurzen Bericht bei The Elec nicht hervor. Da die 2-nm-Fertigung bei Samsung für Ende 2025 angestrebt wird, könnten im Jahr 2026 alle drei Foundries erneut mit den gleichen Technologien im Einsatz sein.