News ASML-Quartalsbericht: Erster NXE:3600D im Schwung großen Wachstums geliefert

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.362
ASML hat die erste EUV-Belichtungsmaschine der neuen Generation alias NXE:3600D ausgeliefert. Diese reitet auf der Welle des Erfolgs, in diesem Jahr erwartet der Hersteller ein Wachstum von insgesamt 35 Prozent. Das zweite Quartal zeigte mit einem Umsatz von über 4 Milliarden Euro bereits, wohin die Reise geht.

Zur News: ASML-Quartalsbericht: Erster NXE:3600D im Schwung großen Wachstums geliefert
 
  • Gefällt mir
Reaktionen: flo.murr, DirtyHarryOne, ComputerJunge und 2 andere
Wenn 80% schon gebucht sind hoffen wir mal Intel und Samsung haben dort bereits genügend - bzw. nutzen die restlichen 20% noch... Nicht dass TSMC weiter auf ein Monopol zuläuft weil Samsung und Intel nicht genügend EUV Kapazitäten haben.

Aktuell soll TSMC ja bereits 50% der installierten EUV Kapazitäten besitzen und profitiert mächtig davon. Da Intel diese für deren 7nm SF braucht und man es bei 10nm verschlafen hat sollte hier jetzt nicht rumgeeiert werden. Selbes gilt für Samsung und deren 5/4LPP und 3GAAP... Insbesondere wenn jetzt noch die ganzen Speicherhersteller auf den Zug aufspringen.
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai, Hardware_Junkie, TexHex und 4 andere
ASML ein Paradebeispiel für viel mehr ausliefern zu wollen als zu können. Auf der anderen Seite würde man auch Gefahr laufen sich die Preise so zu torpedieren dass die Marge sinkt.
Denn bei einem knappen Gut kann man wunderbar den Preis diktieren.
Und technisch geht es auch weiter, was will man mehr.
 
Also die Firma hat alles richtig gemacht. Schaffe ein Produkt was jeder will/braucht und es wird dir aus den Händen gerissen. Gibt es eigentlich noch andere Anbieter von EUV Maschinen oder ist ASML da der einzige?
MFG Piet
 
  • Gefällt mir
Reaktionen: Mar1u5
Erster NXE:3600D im Schwung großen Wachstums geliefert

??? Grammatik Polizei - irgendwas stimmt doch nicht in der Formulierung, oder?
 
  • Gefällt mir
Reaktionen: Loopman
PietVanOwl schrieb:
Also die Firma hat alles richtig gemacht. Schaffe ein Produkt was jeder will/braucht und es wird dir aus den Händen gerissen. Gibt es eigentlich noch andere Anbieter von EUV Maschinen oder ist ASML da der einzige?
MFG Piet
Soweit mir bekannt leider nicht.

Canon und Nikon schaffen es technologisch entweder nicht oder sind aus Kostengründen ausgestiegen... Man hat damals glaub Immersion Lithography verschlafen uns hängt seither zurück bzw. fällt sogar immer weiter zurück...

Denke es ist auch ein Teufelskreislauf - wer nicht vorne mit dabei ist macht weniger Geld und hat weniger Versuchs-/Forschungspartner wie Intel und TSMC und fällt dann weiter zurück. Obwohl es langfristig auch im Interesse von Intel, Samsung, TSMC, Micron, Hynix, etc. sein sollte hier Wettbewerb zu haben...

Ergänzung ()

engineer123 schrieb:
Erster NXE:3600D im Schwung großen Wachstums geliefert

??? Grammatik Polizei - irgendwas stimmt doch nicht in der Formulierung, oder?
Naja verstehen tut man es auch wenn es nicht spitze ist.

Wurde halt zwischen dem ganzen Maschinen auch eine erste Maschine der neuen Generation ausgeliefert was evtl. nicht groß gesondert gefeiert / erwähnt wurde.
 
  • Gefällt mir
Reaktionen: Mydgard, gartenriese und PietVanOwl
Noch ist ASML die einzige Firma, von der Konkurrenz hört man wenig
 
knoxxi schrieb:
ASML ein Paradebeispiel für viel mehr ausliefern zu wollen als zu können.
Wenn ASML wollte könnten sie auch 45 pro Jahr ausliefern bzw. Herstellen.
Sie haben aber schon gesagt, dass sie das nicht wollen.
Ansonsten fahr ich Mal rüber und Frage ob sie für dich ne Ausnahme machen. Sind ja nur 20 km 😉
 
  • Gefällt mir
Reaktionen: Unnu
Das wäre super, ich nehme 4 für den Preis von 1er. :)
 
  • Gefällt mir
Reaktionen: edenjung
PietVanOwl schrieb:
Gibt es eigentlich noch andere Anbieter
Canon, Nikon, Ultratech (gehört zu Veeco) - und Ende. Im Bereich EUV ist ASML aber konkurrenzlos. Nicht so doll für die Kunden - aber betrachtet man die andere Seite der Medaille - ist das endlich einmal ein Bereich wo ohne Europa nix geht.
 
  • Gefällt mir
Reaktionen: DaBo87, Mulciber, NJay und 9 andere
der Unzensierte schrieb:
Canon, Nikon, Ultratech (gehört zu Veeco) - und Ende. Im Bereich EUV ist ASML aber konkurrenzlos. Nicht so doll für die Kunden - aber betrachtet man die andere Seite der Medaille - ist das endlich einmal ein Bereich wo ohne Europa nix geht.
Jetzt bräuchten wir nur noch ein paar Fabs in Europa in der auch die Maschinen eingesetzt werden :)
Ergänzung ()

Colindo schrieb:
Die 3400D kann 180 Wph statt vorher 170. Das ist wieder ein kleiner Schritt nach vorne. Mich interessiert aber eher die nächste Variante mit 0.5 NA. Dauert aber wohl noch 2 Jahre, bis die herauskommt.
Glaube 250 Wph oder so war die Zielsetzung. Ob das jemals erreicht wird wäre interessant. Bei derart "kleinen" Schritten dauert es noch ne Weile...
 
  • Gefällt mir
Reaktionen: Colindo
knoxxi schrieb:
ASML ein Paradebeispiel für viel mehr ausliefern zu wollen als zu können. Auf der anderen Seite würde man auch Gefahr laufen sich die Preise so zu torpedieren dass die Marge sinkt.
Als Monopolist kann man die Preise vorgeben wie man will, egal wie "knapp" das Gut auch ist.
Ergänzung ()

Matthias B. V. schrieb:
Obwohl es langfristig auch im Interesse von Intel, Samsung, TSMC, Micron, Hynix, etc. sein sollte hier Wettbewerb zu haben...
Intel Samsung und TSMC haben sich vor Jahren zusammen getan, ASML bei der Entwicklung solchen Belichter geholfen und sich an dem Unternehmen beteiligt. An dem Monopol sind sie also genauer genommen selbst "schuld".
The ASML co-investor program was announced early in July this year; ASML announced that it had 25 per cent of the company available for investors to buy in order to raise capital and accelerate its research. Intel came aboard with a total commitment of $4.1 billion straight away on 9th July 2012. TSMC joined Chipzilla on 6th August with a total investment of $1.4 billion. Now Samsung has managed to get out of the law courts and do a bit of investment business, deciding to invest a total of $970 million in ASML stock and R&D funding.
https://hexus.net/business/news/components/44261-samsung-invests-asml-following-intel-tsmc-stakes/
Anders wären die hohen Kosten aber vermutlich von ASML selbst nicht zu stemmen gewesen, man wollte die Miniaturisierung nicht aufhalten und ohne EUV gäbe es bereits jetzt einen Stillstand bei der Chipentwicklung. So konnte man diesen noch um einige Jahre verschieben.

Die mögliche Konkurrenz hat es nun also erst recht schwer, zu ASML aufzuholen, wenn sie überhaupt ein Interesse dran haben. So wichtig EUV auch bei der Top-End Fertigung auch ist, ein grosser Teil von Chips wird aber weiterhin in DUV gefertigt, weshalb die anderen Hersteller zumindest aktuell alles andere als leer ausgehen.

Meanwhile, the focus on lithography with deep ultraviolet light (DUV) is somewhat pushed to the background. Unjustifiably so, because DUV is profiting from expanding markets. Moreover, every order for an EUV machine also means orders in DUV. The figures show that DUV is a healthy business. ASML sold 5.4 billion euros worth of DUV systems in 2020, compared to 4.4 billion in EUV machines. That 5.4 billion consisted of nearly 4 billion euros in 68 immersion systems, the most advanced DUV machines.
https://bits-chips.nl/artikel/we-underestimated-the-demand-for-duv/
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: Kitsune-Senpai und Unnu
xexex schrieb:
[...]
Anders wären die hohen Kosten aber vermutlich von ASML selbst nicht zu stemmen gewesen, man wollte die Miniaturisierung nicht aufhalten und ohne EUV gäbe es bereits jetzt einen Stillstand bei der Chipentwicklung. So konnte man diesen noch um einige Jahre verschieben.
Richtig, sonst hätten wir noch 14nm+++++++++++++ in 2022.
 
  • Gefällt mir
Reaktionen: Unnu
der Unzensierte schrieb:
ist das endlich einmal ein Bereich wo ohne Europa nix geht.
Nunja, das ist schon schön, andererseits ist das auch wieder so ein toller SPOF.
Wenn die NL mal absaufen ... was ja schnell gehen kann, wie wir gesehen haben, ... dann hat die Supply-Chain schon wieder ein heftiges Problem.
Oder haben die ihr Risiko mittlweile gestreut via mehreren abriken in diversen anderen Ländern?
 
Unnu schrieb:
Wenn die NL mal absaufen
Gerade die NL kann mit Wasser vergleichsweise gut umgehen. Ich habe eher die (nicht ganz ernst gemeinte) Befürchtung, dass NL auch an der NL-DE Grenze anfängt Deiche zu bauen wenn DE nicht aus dem Knick kommt mit der Prävention von häufiger auftretenden Extremwetterlagen.
der Unzensierte schrieb:
Nicht so doll für die Kunden
Für die nicht, richtig. Für diejenigen, die ASML Aktien bereits haben oder kauften als die "Chipmangelkrise" ersichtlich wurde und nicht alle 3 Monate das neueste Handy brauchen und Elektronikgadgets schneller durchwechseln als ihre Unterwäsche halt blöd, weil so im Endeffekt die Belichtungsmaschinen von ASML mit finanziert werden.
 
Eneloop schrieb:
Richtig, sonst hätten wir noch 14nm+++++++++++++ in 2022
Jain! Sowohl 7nm (TSMC) als auch 10nm (Intel) wird noch komplett in DUV gefertigt und auch 5nm (TSMC ) beinhaltet letztlich nur einige wenige Layer die mit EUV belichtet werden.

Was hier immer wieder vergessen wird ist, dass auch beim "EUV Prozess" nur ein geringer Teil wirklich mit EUV belichtet wird.
The N5 node continues to use bulk silicon FinFET transistors. Leveraging their experience from 7+, 5 nm makes extensive use of EUV for more critical layers in order to reduce the multi-patterning complexity. It is believed that TSMC N5 process uses 11-13 EUV masks in order to replace about 35 immersion layers that would otherwise be required to produce the same pattern without EUV. In other words for TSMC to go from its N7 node to its N5 node would entail going from roughly 87 masks to 115 masks. The introduction of EUV reduced this number back down to around 81 masks.
https://en.wikichip.org/wiki/5_nm_lithography_process
 
  • Gefällt mir
Reaktionen: Icke-ffm und snaxilian
xexex schrieb:
Jain! Sowohl 7nm (TSMC) als auch 10nm (Intel) wird noch komplett in DUV gefertigt und auch 5nm (TSMC ) beinhaltet letztlich nur einige wenige Layer die mit EUV belichtet werden.

Was hier immer wieder vergessen wird ist, dass auch beim "EUV Prozess" nur ein geringer Teil wirklich mit EUV belichtet wird.

Also bei Intel 10nm stimmt es dass hier komplett DUV zum Einsatz kommt. Bei 7nm SF ist es schwieriger und es wurde wurde unterschätzt - hier wurde aber der Prozess redesignt und man nutzt für einige Layer EUV - weshalb es hier Probleme gab den Prozess zu entwickeln und wieso Intel jetzt auch nicht genug EUV Kapazität hat da man zu wenig Maschinen geordert hat. Daher die Verschiebung auf 2022/23...

Bei TSMC ist es wie folgt: N7 und N7P DUV. N7+, N6 und N5 etc. entstehen mit einer steigenden Anzahl an Layern in EUV.

Samsung nutzt EUV in einigen Layern für 7LPE, 5LPE, 5LPP, 4LPE etc. Deren 8LPP/8LPU ist der letzte Prozess komplett in DUV.
 
  • Gefällt mir
Reaktionen: LukS
Zurück
Oben