News ASML-Quartalsbericht: Erster NXE:3600D im Schwung großen Wachstums geliefert

Matthias B. V. schrieb:
entstehen mit einer steigenden Anzahl an Layern in EUV.
Vollkommen richtig und wie bei Wikichip bereits genannt, werden so mit etwa 10 EUV Layern die sonst notwendigen 30 DUV Layer ersetzt. Im Umkehrschluss bedeutet es jedoch auch eines, ohne EUV wäre der 5nm Prozess bei TSMC auch noch möglich gewesen. Es ist nur schlichtweg mittlerweile kostengünstiger und auch "einfacher" dies mit EUV zu machen.

Welcher Aufwand dabei betrieben wird, zeigt das Video was ich jedem nur empfehlen kann und einen tiefen Einblick in die EUV F&E bei Intel liefert.
 
  • Gefällt mir
Reaktionen: LukS, Kitsune-Senpai, KaRa2 und 2 andere
knoxxi schrieb:
Das wäre super, ich nehme 4 für den Preis von 1er. :)
Dann musst du aber warten.
Ich komme da erst wieder Anfang September vorbei 😉
 
  • Gefällt mir
Reaktionen: knoxxi
xexex schrieb:
Vollkommen richtig und wie bei Wikichip bereits genannt, werden so mit etwa 10 EUV Layern die sonst notwendigen 30 DUV Layer ersetzt. Im Umkehrschluss bedeutet es jedoch auch eines, ohne EUV wäre der 5nm Prozess bei TSMC auch noch möglich gewesen. Es ist nur schlichtweg mittlerweile kostengünstiger und auch "einfacher" dies mit EUV zu machen.

Welcher Aufwand dabei betrieben wird, zeigt das Video was ich jedem nur empfehlen kann und einen tiefen Einblick in die EUV F&E bei Intel liefert.
Der Umkehrschluss geht leider nicht immer - Ein Hund ist ein Säugetier aber nicht jedes Säugetier ist im Umkehrschluss ein Hund...

Mann könnte auch bei 28nm oder 14nm EUV einsetzten ja. Bei N7 verbessert man die Produktivität und Kosten durch EUV wie man an N6 als Node für die Massen sieht.

Denke aber nicht dass es bei N5 für kritische Layer noch mit DUV gehen würde. Nicht nur wegen den Kosten sondern vor allem auch den Defekten - die nicht nur Kosten bestimmen sondern auch festlegen ob es überhaupt nutzbar ist. Man nutzt ja schon Quad-Patterning bei DUV und ist hier an einer Grenze angelangt...
 
  • Gefällt mir
Reaktionen: DevPandi
Matthias B. V. schrieb:
Bei N7 verbessert man die Produktivität und Kosten durch EUV wie man an N6 als Node für die Massen sieht.
Bei N7 nutzt TSMC gar kein EUV, sondern einzig bei N7+, was jedoch aktuell praktisch keine Verwendung findet. Der einzige Prozess der eine breite Nutzung von EUV bei TSMC findet, ist der N5 Prozess.
Ergänzung ()

Matthias B. V. schrieb:
Denke aber nicht dass es bei N5 für kritische Layer noch mit DUV gehen würde.
Gäbe es keine EUV Maschinen, würde auch dieser Step noch gehen. Mit schlechten Yields und vielleicht nicht jetzt, sondern erst in ein paar Jahren, aber letztlich würde man wahrscheinlich einen Weg finden. Auch mit EUV wird man in ein paar Jahren vom selben Dilemma stehen. Letztlich ist die Miniaturisierung nicht endlos und irgendwann übersteigt der Aufwand und die Kosten das Nutzen.
 
xexex schrieb:
Bei N7 nutzt TSMC gar kein EUV, sondern einzig bei N7+, was jedoch aktuell praktisch keine Verwendung findet. Der einzige Prozess der eine breite Nutzung von EUV bei TSMC findet, ist der N5 Prozess.
Ergänzung ()
Hatte ja auch nicht behauptet dass N7 EUV nutzt. Aber TSMC versucht viele Kunden zu N6 zu verschieben da dieser eben neben leichten Verbesserungen eben dank EUV effizienter in der Fertigung ist.

Wie bereits weiter oben aufgelistet ist es bei TSMC so: N7 und N7P DUV - N7+ und N6 DUV+EUV wobei N7(P) Designs zu N6 überführt werden können was nun getan wird. Man spekuliert es ja auch selbst bei der PS5...
xexex schrieb:
Gäbe es keine EUV Maschinen, würde auch dieser Step noch gehen. Mit schlechten Yields und vielleicht nicht jetzt, sondern erst in ein paar Jahren, aber letztlich würde man wahrscheinlich einen Weg finden. Auch mit EUV wird man in ein paar Jahren vom selben Dilemma stehen. Letztlich ist die Miniaturisierung nicht endlos und irgendwann übersteigt der Aufwand und die Kosten das Nutzen.
Ja wir werden auch irgendwann wieder mehr Schritte und Quad-Patterning bei EUV sehen. Nur würden wir ohne eben stagnieren da unter 7nm / 10nm DUV einfach keiner ernsthaft in Erwägung ziehen kann da dies bei 7 / 10nm schon ausgereizt ist und Probleme bereitet.
 
  • Gefällt mir
Reaktionen: bensen
Matthias B. V. schrieb:
Aktuell soll TSMC ja bereits 50% der installierten EUV Kapazitäten besitzen und profitiert mächtig davon.
Falls dem so ist, dann ist das immer noch zweischneidig. Denn die einmal beschafften Anlagen müssen erstmal ihr Geld verdienen, die nachfolgenden sind aber produktiver. Wer zu früh kommt kauft, den bestraft die Freundin Buchhaltung.
tl;dr: Abgerechnet wird zum Schluß. Wer früh zu höheren Preisen kauft läuft Gefahr mittelfristig überrundet zu werden. Das könnte auch Intel so gesehen haben - bevor sie mit ihrem 7nm Prozess so epochal auf die Fresse geflogen sind.
 
Zuletzt bearbeitet:
Hayda Ministral schrieb:
Denn die einmal beschafften Anlagen müssen erstmal ihr Geld verdienen, die nachfolgenden sind aber produktiver.
Im Fall ASML lassen sich wohl bestehende Belichter auf neuere Versionen umrüsten und einen Aspekt darf man dabei nicht vergessen, der frühe Vogel kassiert den Jackpot! TSMC hat bestimmt tief in die Tasche greifen müssen, dessen Kunde Apple interessiert es wenig, die Kunden scheinen das Geld locker sitzen zu haben.

Es hat schon seine Gründe wieso AMD frühestens im kommendem Jahr auf den 5nm Prozess setzen wird.
 
  • Gefällt mir
Reaktionen: Matthias B. V. und Colindo
Matthias B. V. schrieb:
Soweit mir bekannt leider nicht.

Canon und Nikon schaffen es technologisch entweder nicht oder sind aus Kostengründen ausgestiegen... Man hat damals glaub Immersion Lithography verschlafen uns hängt seither zurück bzw. fällt sogar immer weiter zurück...

Das sieht ihnen ähnlich, siehe spiegellose :evillol: (wobei Nikon 1 und EOS M noch halbwegs im Rahmen waren, 2011/12 gestartet, während bspw. Sony und Samsung 2010 gestartet sind, für Z/EOS R haben sie aber 5 Jahre gebraucht...).

Ansonsten ist aber ASML auch nicht allein, sondern kooperiert u.a. mit Zeiss für die Optiken und Trumpf für die Erzeugung der EUV-Strahlung.
 
snaxilian schrieb:
Gerade die NL kann mit Wasser vergleichsweise gut umgehen. Ich habe eher die (nicht ganz ernst gemeinte) Befürchtung, dass NL auch an der NL-DE Grenze anfängt Deiche zu bauen
Vergleichsweise schon. Das ist richtig. Andererseits wurden auch dort Landstriche geflutet und mindestens ein Damm ist gebrochen (in Meerssen). Und die haben fast nur die Auswirkungen der Fluten aus D gespürt.
Wenn dann mal so eine Sturzflut aus dem Himmel dort direkt niedergeht, was dann?

Och, wenn ich in NL beheimatet wäre, dann würde ich solche Dämme/Deiche/Schleusen etc. bauen.
... Ham' sie ja schon!
(Eine Schleuse an der aus dem Kreis Kleve einmündenden Niers sollte aber geschlossen werden.)
 
PietVanOwl schrieb:
Also die Firma hat alles richtig gemacht. Schaffe ein Produkt was jeder will/braucht und es wird dir aus den Händen gerissen. Gibt es eigentlich noch andere Anbieter von EUV Maschinen oder ist ASML da der einzige?
MFG Piet
Ich glaube Samsung arbeitet an Gate-All-Around als Alternative.
SMIC arbeitet vermutlich auch mit Hochdruck an einer eigenen Maschine.

Beides dauert aber noch sehr lange.
 
edenjung schrieb:
Dann musst du aber warten.
Ich komme da erst wieder Anfang September vorbei 😉
Du nimmst also für ihn 4 solcher anlagen mit. Was hat denn er dann damit vor. Man kann mit diesen maschinen eben nur Chips produzieren. Kann das denn diese person etwa? Da braucht man doch ne lizenz dafür oder war das ganze nicht ernst gemeint gewesen?


Achja all gate ist also full EUV. Gibt es denn schon nen nachfolger weil sobald mal dies an die grenzen kommt eitd ja wohl nen nachfolger technik benötigt. Bin gespannt ob es da noch was gibt.
Vielleicht ja mit nanoröhrchen. Hauptsache es geht weiter. Oder vielleicht macht man ja was mit kristal technik. Da gibt es bestimmt Möglichkeiten um das ganze aufzuweichen. Preiswerter wird es allerdings nicht mehr. Es wird also die cpu und gpu usw immer teurer werden. Von den preiswerten hardware können wir uns also in zukunft verabschieden. Was ist denn das beste zur verkleinerung was man da noch maximal verwenden kann. Und sind wir da noch meilenweit davon entfernt oder sibd wir dem maximalen sehr nah dran also von material und technik bezogen?
 
@latiose88

Das war nen Gag, sowohl von ihm, als auch von mir.
Der Keller zum Lachen ist gleich nebenan.

Sorry wenn ich dir was unterstelle ;D

Aber ja man muss die Anlagen auch an Leute verkaufen, die wissen was sie damit tun.
Ich würde ja gerne mal das ASML Werk besichtigen.
Das wäre sicher mega interessant.

Oder bei Karl-Zeiss in Jena deren Optiken anschauen. Denn die liefern ASML zu.
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: latiose88
edenjung schrieb:
Oder bei Karl-Zeiss in Jena deren Optiken anschauen. Denn die liefern ASML zu.
Zum einen heißt es Carl Zeiss und zum anderen haben die in Jena ansässigen Tochterunternehmen der Carl Zeiss AG nur in zweiter/dritter Reihe und bedingt etwas mit der Fertigung von Geräten für ASML zu tun ;)

Dieser Technologiezweig gehört zur Carl Zeiss SMT GmbH, Firmensitz und R&D sind am Standort der Carl Zeiss AG in Oberkochen, Fertigung ist in Wetzlar und ASML hält seit paar Jahren knapp ein Viertel an dieser Tochtergesellschaft.
Manche Teile der dafür notwendigen Geräte werden wiederum innerhalb der Carl Zeiss Gruppe von der Carl Zeiss Jenoptik GmbH in Jena hergestellt.
 
  • Gefällt mir
Reaktionen: edenjung
snaxilian schrieb:
Dieser Technologiezweig gehört zur Carl Zeiss SMT GmbH, Firmensitz und R&D sind am Standort der Carl Zeiss AG in Oberkochen, Fertigung ist in Wetzlar und ASML hält seit paar Jahren knapp ein Viertel an dieser Tochtergesellschaft.
Manche Teile der dafür notwendigen Geräte werden wiederum innerhalb der Carl Zeiss Gruppe von der Carl Zeiss Jenoptik GmbH in Jena hergestellt.
Wow.
So eine detaillierte Antwort. Danke
Du arbeitest nicht zufällig für Carl Zeiss?
 
Nein, aber ich kann 30 Sekunden meiner Zeit aufwenden um Wikipedia zu lesen bevor ich falschen Quark ins Internet schreibe ;)
 
  • Gefällt mir
Reaktionen: Hayda Ministral
Zurück
Oben