Halbleiterindustrie
Aktuelle Halbleiterindustrie News
Feed-
DDR5, LPDDR5X, GDDR7 Samsungs DRAM hat doppelt so hohe Margen gegenüber HBM
Zuletzt schon vermeldet untermauern weitere Medienberichte dies nun: Samsung wird viel mehr DRAM fertigen, weil die Margen sehr hoch sind.
-
Kein Selbstläufer TSMC N3P kämpft mit Yield, SRAM skaliert auch bei N2 nicht
Die Chipfertigung am Rande des Möglichen stellt TSMC vor Herausforderungen. Der N3-Prozess hatte schon einige, auch N2 skaliert nur bedingt.
-
Advanced Packaging Intel investiert weiter und stellt Einrichtung in Malaysia fertig
Intel-CEO Lip-Bu Tan hat bei einem Besuch in Malaysia zusätzliche Investitionen zur Fertigstellung des Packaging-Komplexes angekündigt.
-
TSMC × Europa Eine französische AI-GPU mit 288 GB HBM3e als Vorbild
ComputerBase hat das „TSMC OIP Ecosystem Forum“ besucht: Es ging um Effizienz, Packaging und eine AI-GPU mit 288 GB HBM3e aus Frankreich.
-
Intel EMIB in aller Munde Mögliche Kunden reihen sich auf, Amkor fährt Produktion hoch
Apple, Qualcomm, MediaTek und Marvell – alle sollen an EMIB interessiert sein. Währenddessen kommt Intels Technologie bei Amkor unter.
-
Intel Panther Lake Intel-18A-Yield war schlecht, ist nun aber auf gutem Weg
Einmal mehr hat ein Mitarbeiter aus Intels Finanzabteilung interessante Details verraten: Die Intel-18A-Yield steigt um 7 % pro Monat.
-
Nachfrageexplosion Auch PSMC könnte für Sandisk in Zukunft NAND-Flash fertigen
Aus Asien kommen Gerüchte, dass Sandisk versucht, NAND-Flash auch bei PSMC fertigen zu lassen. Die extreme Nachfrage lässt nichts unmöglich.
-
Silicon Photonics Globalfoundries kauft AMF für langfristige Strategie
Globalfoundries hat den Kauf der Advanced Micro Foundry (AMF) bestätigt. AMF ist auf Silicon Photonics spezialisiert, einem Zukunftsthema.
-
Yongin Semiconductor Cluster SK Hynix' Speicherfabrik im Kern einer 410-Mrd.-Investition
Im Yongin Semiconductor Cluster ist SK Hynix stark involviert. Hier könnten am Ende knapp 410 Mrd. US-Dollar für Speicher investiert werden.
-
Mega-Investitionen Samsung will 300+ Mrd. USD in RAM, AI & Batterien stecken
In den kommenden fünf Jahren will Samsung 450 Billionen Won investieren: In die Fertigung, AI, OLED und Feststoffbatterien.
-
Tachyum Prodigy „verbessert“ Nun sollen 1.024 2-nm-Kerne Nvidia Vera Rubin Ultra schlagen
Kann Vaporware stets verbessert werden? Tachyum sagt ja und schraubt Prodigy auf 1.024 Kerne hoch, die Nvidia Vera Rubin schlagen sollen.
-
Im größten Boom Micron verschiebt Speicherfabriken um Jahre
Microns Mega-Projekt für den Fabrikbau in der Nähe von New York verzögert sich. Die erste Fabrik wird zwei Jahre später online kommen.
-
Elon Musk will TeraFab Fertigungskapazitäten von TSMC, Samsung, Intel reichen nicht
Elon Musk hat erklärt, dass Tesla mit TSMC, Samsung und möglicherweise auch Intel keine Chance habe, genügend Chips zu bekommen.
-
Fab 25 mit vier Phasen TSMC startet den Bau der Chipfabrik für die A14-Fertigung
Knapp 50 Milliarden US-Dollar wird TSMC für die ersten Phasen der neuen Fab 25 investieren. Dort werden zukünftig „1,4-nm-Chips“ gebaut.
-
Tesla AI5 und AI6 Samsung doch nicht exklusiver Fertiger, TSMC bleibt erhalten
Rückschlag für Samsung Foundry? Zuletzt groß gefeiert kommen von Elon Musk persönlich neue Details ans Licht. TSMC bleibt im Rennen.
-
HBM5(e), (LP)DDR6, GDDR8 SK Hynix zeigt neue Speicher-Roadmap für 2029 bis 2031
SK Hynix hat im Rahmen des AI Summit 2025 eine Speicherroadmap gezeigt, auch HBM5(e), DDR6 und GDDR8 sind darauf zu finden.
-
Umfrage bei Unternehmen Halbleiter „Made in Europe“ dürfen kaum mehr kosten
EU-Firmen sind oftmals von ausländischen Halbleiterfertigern abhängig. Für Halbleiter „Made in Europe“ würden sie 5 Prozent mehr ausgeben.
-
Röntgenlithografie US-Startup Substrate will ASML und TSMC herausfordern
US-Medien verbreiten die Botschaft, dass ein US-Startup ASML und TSMC gleich mit Konkurrenz machen will. Der Teufel steckt aber im Detail.
-
Ausbau in Dresden Globalfoundries erweitert deutsche Halbleiterfabriken
Globalfoundries baut wie erwartet die Dresdner Halbleiterfabriken weiter aus. 1,1 Milliarden Euro werden investiert.
-
TSMC-A16-Fertigung Nvidia statt Apple zuerst mit Backside Power Delivery
Bereits vor sechs Wochen ein frühes Gerücht, erfährt die Meldung weitere Nahrung: TSMCs A16-Fertigung wird wohl zuerst von Nvidia genutzt.
-
Samsung Foundry Mehr High-NA-EUV und HBM4e mit 13 Gbps in den Plänen
Samsung soll in den kommenden Monaten zwei weitere High-NA-EUV-Systeme erhalten. Die könnten auch bei HBM4e mit 13 Gbps eingesetzt werden.
-
TSMC-Quartalszahlen Noch einmal 41 Prozent mehr Umsatz, N2 geht in Serie
Auftragsfertiger TSMC hat die Erwartungen übertroffen – schon wieder. Noch einmal 41 Prozent mehr Umsatz als im Vorjahr wurden verbucht.
-
Quartalszahlen ASML tritt auf der Stelle und hofft auf Speicherhersteller
Die Quartalszahlen von ASML sind solide, aber unterm Strich tritt man auf der Stelle. Die Hoffnung richtet sich auf den Speicherboom.
-
10 Gigawatt Rechenleistung OpenAI kooperiert mit Broadcom für eigenen Custom-ASIC
Nach Deals mit Nvidia und AMD hat OpenAI auch eine Vereinbarung mit Broadcom geschlossen. Am AI-ASIC könnten beide aktiv zusammenarbeiten.
-
Halbleiterfertigung TSMC steigert Marktanteil bei Foundries auf 71 Prozent
TSMC ist unbestritten der Auftragsfertiger mit den besten Technologien, was sich auch in den Zahlen des Marktanteils widerspiegelt.
-
Intel 18A läuft an ComputerBase war in den Reinräumen von Intels Fab 52
Heute wird Intels nagelneue Fab 52 offiziell eröffnet. Sie fertigt in Intel 18A – in Großserie! ComputerBase hat sie im Bunny Suit besucht.
-
Neuer Packaging-Komplex Update Amkor zieht näher an TSMC heran und baut zwei Fabs
Packaging-Gigant Amkor zieht für seine neue Fabrik in den USA näher an einen der größten Kunden – oder auch Lieferanten – heran: TSMC.
-
Sechste Phase geplant TSMCs Fab 22 für N2, A16 und A14 wächst, erste Wafer belichtet
TSMCs neue Fab 22 in Kaohsiung wird weiter ausgebaut. Eine sechste Phase soll entstehen, während erste Wafer am Standort belichtet wurden.
-
Angebliche Gespräche Update AMD könnte Chips bei Intel fertigen lassen
Es wird berichtet, dass Intel derzeit mit AMD über einen möglichen Auftrag zur Chipfertigung verhandelt.
-
Snapdragon X2 Elite Extreme Qualcomm mischt TSMC N3X und N3P für High-End-Chip
Qualcomm hat gegenüber ComputerBase bestätigt, beim Snapdragon X2 Elite Extreme die Nodes TSMC N3X und N3P innerhalb des Dies zu mischen.
-
Für A14-Chips ab 2028 TSMC legt in Kürze den Grundstein für die neue Fab 25
Wer rastet, der rostet – für TSMC gilt dies nicht. Mit Volldampf voraus wird in Kürze der Grundstein für die neue Fab 25 gelegt.
-
SK Hynix expandiert 20 neue EUV-Systeme binnen 2 Jahren geplant
Bei Speicher ist SK Hynix nun Marktführer, auch dank EUV-Nutzung in großem Umfang. Expansionspläne machen klar: Es soll so weiter gehen.
-
Micron-Quartalsbericht TSMC fertigt auch Microns Base-Die, HBM4 11 Gbps geliefert
Micron hat in der Nacht erklärt, bei HBM4e ebenfalls auf TSMCs Base-Dies zu setzen. HBM4-Samples wurden bereits mit 11 Gbps geliefert.
-
Für 7-nm-Chips Chinas Foundry SMIC testet heimisches Lithografiesystem
Chips Made in China setzen auch heute noch auf ASML & Co. Nun testet SMIC ein chinesisches Lithografiesystem um die Abhängigkeit zu brechen.
-
TSMC-A16-Fertigung Nvidia will bei 2 nm mit Backside Power Erstkunde sein
Für den übernächsten AI-Chip Feynman will Nvidia laut Medienberichten die rückseitige Stromversorgung von TSMCs A16-Prozess nutzen.
-
In alten Fabriken TSMC will EUV-Pellicles selbst produzieren
Die Vermeidung von Fehlern um eine höchstmögliche Ausbeute in der Chip-Fertigung zu erzielen, hat TSMC auf dem Schirm: mit Pellicles.
-
Intel-Konferenz-Aussagen Management halbiert, Arrow/Nova Lake und Intel 14A im Plan
Im Rahmen der Goldman Sachs Communacopia hat Intel einige interessante Aussagen zum aktuellen Umbau und zu Plänen des Konzerns preisgegeben.
-
Mit High-NA-EUV SK Hynix bereitet die Next-Gen-Speicherfertigung vor
SK Hynix hat überraschend angekündigt, in der Speicherfertigung alsbald auf High-NA-EUV zu setzen. Ein erstes System ist bereits vor Ort.
-
Samsung, SK Hynix und TSMC Update US-Regierung will Fab-Aufrüstungen in China unterbinden
Neue Bestimmungen der USA würden SK Hynix', Samsungs und TSMCs Fabriken in China treffen. Aufgerüstet werden dürfen diese dann nicht mehr.
-
Transistordichte bei 2 nm Rapidus kommt auf dem Papier mit 2HP an TSMC heran
Laut neuen Gerüchten kommt Rapidus auf dem Papier bei der Metrik der Transistordichte an TSMCs modernen N2-Prozess heran.
-
Spionageskandal bei TSMC Update 4 (Ex-)Angestellte sollen 2-nm-Technologie veräußert haben
TSMC hat mehrere Angestellte gefeuert, die Teile der 2-nm-Technologie Unbefugten übermittelt haben sollen. Die Staatsanwaltschaft ermittelt.
-
TSMC CoPoS ergänzt CoWoS Der Wechsel auf bis zu 750 × 620 mm große Panels steht an
TSMC bereitet den schrittweisen Übergang der aktuellen Packaging-Technologie CoWoS auf das Panel-Package-Format CoPoS vor.
-
Angeschlagener Riese US-Regierung könnte bei Intel einsteigen
Laut neuesten Meldungen aus Washington könnte die US-Regierung bei Intel einsteigen, um den angeschlagenen Riesen zu retten.
-
Halbleiterfertigung und mehr Indien investiert in vier weitere Großprojekte
Der Zug der Chipindustrie kommt in Indien nur schwerlich in Fahrt. Weitere Gelder sollen vier neuen Projekte Starthilfe leisten.
-
Nicht mehr wirtschaftlich TSMC stellt Produktion auf 6-Zoll-Wafern binnen 2 Jahren ein
TSMC ist berühmt für Gigafabs für Millionen Wafer im Jahr in der Größe 12 Zoll, doch betreibt auch ältere Fabs. Die kleinste schließt nun.
-
Chinas Foundry SMIC Zehnfaches Wachstum, da eigene Chips ausländische „perfekt“ ersetzen
Chinas bester Auftragsfertiger, SMIC, hat im Zuge seines Quartalsberichts einige interessante Daten der Kundschaft genannt.
-
Halbleiter Update USA wollen rund 100 Prozent Zölle auf Chips erheben
Das Auf und Ab beim Thema Zölle unter US-Präsident Trump geht in die nächste Runde. Rund 100 Prozent sollen es für Halbleiter nun werden.
-
TSMC-Investition in den USA Taiwan nennt Trumps Aussagen von 300 Mrd. USD „Fake News“
Gewaltige Summen als TSMC-Investition in den USA sind von US-Präsident Trump ins Spiel gebracht worden. Taiwan nennt sie „Fake News“.
-
TSMC-Fabrik in Dresden Taiwans Zusage erfolgte wohl nur gegen militärische Hilfe
Warum hat TSMC ausgerechnet in Deutschland eine Halbleiterfabrik gebaut? Militärische Hilfen für Taiwan könnten den Ausschlag gegeben haben.
-
2-nm-Chips von TSMC Fertigung steigt bis 2026 auf 60.000 Wafer pro Monat
TSMC N2-Fertigung feiert vermutlich bereits in wenigen Tagen im September Premiere, doch das Hochfahren der Fabriken geht erst richtig los.
-
Nvidia H20 und mehr Gigantischer Rückstau in US-Behörde verhindert Exporte
Bei der in den USA für Exportlizenzen zuständigen Behörde hat sich ein Rückstau gebildet, der unter anderem Nvidias H20 betrifft.
-
Weitere Umbauten Update Intel soll den Alleingang bei Glassubstrat beenden
Ein weiteres von Intels Zukunftsprojekten steht auf dem Prüfstand: Glassubstrat. Hier soll eher eine externe Lösung genommen werden.
-
Intel-Neuaufstellung Mehr Führungskräfte gehen, Geld aus Chips Act auf der Kippe
Laut Berichten gehen drei Führungskräfte aus Intels Fabs in den „Ruhestand“. Ein Fragezeichen steht hinter dem Geld aus dem US Chips Act.
-
Fab-Ausrüster TEL Höhere Ausbeute heißt auch weniger Maschinen zu verkaufen
Fabrikausrüster Tokyo Electron kämpft mit einem Dilemma: Die Ausbeute in der Chip-Produktion erhöht sich, so werden weniger Tools gebraucht.
-
Fertigungskosten Samsungs 2-nm-Chips wohl ein Drittel günstiger als TSMCs
Um Kundschaft für die Fertigung zu gewinnen, soll Samsung stark über den Preis gehen. TSMCs Lösung sei demnach 50 Prozent teurer.
-
CoWoP-Packaging Neues Verfahren bei Nvidia Blackwell und Rubin im Testlauf
Eine Nvidia-Roadmap offenbart kommende Test mit bevorstehenden Rubin-Chips im neuen CoWoP-Packaging-Verfahren. CoWoS läuft parallel weiter.
-
Modernes Packaging Samsung vor erneuter 7-Milliarden-USD-Investition in den USA
Modernste Chips sind ohne passendes Packaging nichts. Auch Samsung soll deshalb eine neue Großinvestition in dem Bereich wagen.
-
Chip-Fertigung in SF2A Samsung Foundry gewinnt Milliardenauftrag von Tesla
Es ist der Erfolg, den Samsung brauchte: Tesla setzt neben TSMC auch auf Samsung und wird dort Chips für Milliarden USD fertigen lassen.
-
Intels Quartal analysiert Magdeburg gestrichen, 14A auf Messers Schneide, SMT zurück
Intels Quartal lässt sich in vielerlei Hinsicht lesen. Fest steht eins: Es wird erst einmal nicht leichter, wenn dann nur auf lange Sicht.
-
AMD-CEO Lisa Su bestätigt Chips aus TSMCs US-Fabriken sind teurer
Dass TSMCs Produktion in den USA teurer ist und auf Kunden umgelegt wird, ist lange klar. AMD äußerste sich und nennt eine Zahl.
-
Halbleiter-News TSMC-Fabs für A14/A10, möglicher FMC-Bau in Magdeburg und 2 nm von Rapidus
In den letzten Tagen gab es Meldungen zu neuen TSMC-Fabs, einer möglichen FMC-Fab in Magdeburg und die ersten 2-nm-Chips von Rapidus.
-
Lithografiesysteme DSP-100 Nikon bringt Maschine für 600-mm-Panel-Level-Packaging
Auch Nikon ist weiter im Bereich Lithografiesysteme aktiv und stellt eine neue Lösung für das Packaging von 600 × 600 mm großen Panels vor.
-
TSMC-Quartalszahlen 61 % mehr Gewinn, weil sich N3 und N5 sehr gut verkaufen
Auftragsfertiger TSMC hat neue Rekordzahlen vorgelegt, vor allem dank starker Auslieferungen von N3- und N5-Chips und ihren Varianten.
-
ASML-Quartalszahlen Erstes EXE:5200B-High-NA-EUV-System ausgeliefert
ASML hat in einem soliden zweiten Quartal Neubestellungen für 5,5 Milliarden Euro eingesammelt. Der Ausblick bleibt aber verhalten.
-
Entlassungswelle rollt an Update 3 An Intels Standorten werden bis zu 20 Prozent entlassen
Seit Wochen wird über Intels geplante Entlassungen spekuliert, nun rollen sie an. In Intels Fabriken müssen demnach viele Leute gehen.
-
CPU-Gerüchte Zen-6-Node-Details bei AMD und hohe Yields bei Intel 18A
Die CPU-Gerüchteküche kocht zuletzt Überstunden. Nun geht es um die Fertigungsstufen von Zen 6 und die Ausbeute von Intel Panther Lake.
-
Intel im Umbau „Wir sind nicht einmal mehr in den Top 10 der Chiphersteller“
Im Zuge der Entlassungswelle hat sich Intel-CEO Lip-Bu Tan weltweit an die Mitarbeiter gewandt. Bevor es besser wird, wird es schmerzhaft.
-
Mit SoIC & CoPoS TSMC will gestapelte Chips ab 2028 auch aus den USA liefern
SoIC wird für AMD Ryzen X3D genutzt. Und CoPoS steht für das kommende Packaging auf Panel-Level. Ab 2028 wird das US-Packaging-Werk gebaut.
-
Überraschende Zusammenarbeit Globalfoundries will Urgestein MIPS übernehmen
Es ist eine angestrebte Übernahme, die wohl kaum jemand auf seiner 2025-Bingo-Karte hatte: Globalfoundries will MIPS kaufen.
-
Prioritäten neu gesetzt TSMC verzögert Ausbau der Fabs in Japan zugunsten der USA
TSMCs zweite Fabrik in Japan soll später fertig werden. Der Fokus von TSMC ist in Richtung USA gewandert, um drohende Zölle abzuwenden.
-
Fabrikstart erneut verschoben Samsungs neue US-Fab hat keine Kunden
Samsung schiebt die Fertigstellung der neuen US-Fabrik auf die lange Bank. Der Grund: Die Kapazität wird nicht gebraucht, da Kunden fehlen.
-
Galliumnitrid-(GaN)-Halbleiter Infineon fährt 300-mm-Werk hoch, während TSMC aussteigt
Was für ein Gegensatz: Infineon feiert die erste 300-mm-Wafer-Produktion für Galliumnitrid-(GaN)-Halbleiter, während TSMC aussteigt.
-
Samsung Foundry Weiterer 2-nm-Prozess geplant, 1,4 nm auf 2029 verschoben
Neben Intels macht auch Samsungs fortschrittliche Fertigung weiter Schwierigkeiten. Der SF1.4-Prozess wurde auf 2029 verschoben.
-
Erneuter Rückschlag Intel 18A ist bei Kunden gescheitert, 14A soll es jetzt richten
Es hatte sich angedeutet, nun erkennt auch Intel die Zeichen: Die neue Fertigung Intel 18A wird nicht angenommen. Nun soll 14A es richten.
-
Weitere Entlassungen Intel schließt seine eigene Automotive-Sparte
Unter Gelsinger wurden bereits ein Dutzend Firmenzweige abgewickelt, unter Tan geht es weiter: Nun ist die Automotive-Sparte an der Reihe.
-
Foundry-Gerüchte Samsung verschiebt eigene 1,4-nm-Fertigung
Nach vielen Problemen bei 3 nm und einigen auch bei 2 nm verschiebt Samsung die übernächste Generation der 1,4-nm-Fertigung nach hinten.
-
Auslagerung und Entlassungen Intels-Marketing künftig von Accenture und AI
Gemäß Medienberichten wird Intel die eigene Marketing-Abteilung weiter deutlich verkleinern und Accenture und AI den Job übernehmen lassen.
-
60 Mrd. USD in US-Fabs TI und US-Regierung verkaufen alte Vorhaben als neu
Texas Instruments verkündet heute die Investition von 60 Milliarden US-Dollar in US-Halbleiterwerke. Das Problem: Nichts davon ist neu.
-
Intel 18A vs. Intel 3 25 Prozent mehr Leistung oder 38 Prozent weniger Verbrauch
Intel hat im Rahmen des VLSI-Symposiums einmal mehr die Vorzüge von Intel 18A gegenüber der aktuellen Fertigungsstufe Intel 3 angepriesen.
-
Speicherriese in den USA Micron schraubt Investitionsvolumen auf 200 Mrd. USD hoch
US-Präsident Trump brüstet sich mit Microns 200-Milliarden-USD-Investment in den USA. Neu sind davon aber letztlich nur 15 Prozent.
-
TSMC CoPoS folgt auf CoWoS Next-Gen-Packaging setzt auf 310 × 310 mm großes Substrat
Die Packaging-Größe für aktuelle Chips ist auch durch das Substrat beschränkt. Zukünftig könnte es mit CoPoS über fünf Mal so groß werden.
-
Kioxia Produktionsverdoppelung und 10-Mio-IOPS-SSD geplant
Kioxia hat große Pläne. Dazu zählen die Verdoppelung der Bit-Mengen in den eigenen Werken wie auch die „Super High IOPS SSD“.
-
Intel-Fahrplan Nur noch High-Margin-Produkte, Foundry bis 2028 intern
Bei Intel dürften in naher Zukunft weitere Produkte dem Rotstift zum Opfer fallen, sofern sie keine Marge jenseits der 50 Prozent abwerfen.
-
Ausbau auch in Deutschland Globalfoundries expandiert für 16 Mrd. USD und 1,1 Mrd. Euro
Globalfoundries investiert weiter in den USA, die Gesamtsumme wächst auf 16 Mrd. USD an. Auch Dresden bekommt Geld für die Fabs.
-
TSMC-Pläne Keine Fabriken im Mittleren Osten, Preiserhöhungen möglich
Nachdem Medien in der letzten Woche Gerüchte streuten, TSMC könne im Mittleren Osten Fabriken bauen, dementierte das Unternehmen heute.
-
Design und Entwicklung TSMCs Wafer-Preise sollen rasant weiter steigen
Die Preise für fertig belichtete Wafer sollen bei TSMC vom Schritt N2 zu A14 von 30.000 auf 45.000 US-Dollar steigen.
-
Magdeburg wieder im Rennen FMC will in Deutschland Halbleiterwerk für DRAM+ bauen
Aus Regierungskreisen wird berichtet, dass die Dresdener Ferro Electric Company (FMC) eine Chipfabrik in Deutschland bauen will.
-
Kein Bedarf an High-NA EUV Mehrfachbelichtung mit EUV ist bis 2029 TSMCs Zielvorgabe
Zum TSMC 2025 European Technology Symposium hat das Unternehmen einmal mehr betont, noch lange ohne High-NA-EUV auskommen zu können.
-
Europäisches Designzentrum TSMC zieht nach Dresden nun auch in München ein
TSMCs European Design Center (EUDC) zieht nach München, erklärte das Unternehmen im Rahmen der Europa-Ausgabe des 2025 Technology Symposium.
-
Samsung Electronics Foundry und Chipsparte LSI könnten zusammengelegt werden
Intel versucht sie zu trennen, bei Samsung könnte die Foundry in Zukunft näher an die Chipsparte LSI heranrücken, heißt es in neuen Plänen.
-
Samsung Electronics Einsatz von Glas-Substrat ab 2028 geplant
Gemäß südkoreanischen Medienberichten plant Samsung den Einsatz von revolutionärem Glas-Substrat ab 2028 für Interposer von AI-Chips.
-
Ab Tensor G5 im Pixel 10 Google soll sich viele Jahre TSMC-Kapazität gesichert haben
Ab dem Tensor G5 für das Pixel 10 soll Google auf die Fertigung bei TSMC statt Samsung setzen. Der Deal sei auf viele Jahre ausgelegt.
-
Auftragsfertiger Bisher will k(aum)einer bei Intel Foundry fertigen lassen
Zum Foundry Day schwang es schon mit, nun erklärte Intels Finanzchef, dass absehbar keine größere Kunden Intel Foundry nutzen werden.
-
Über Malaysia nach China? Extreme Chip-Lieferungen wecken neuen Schmuggelverdacht
Zuletzt geriet Singapur wegen des Schmuggels von Nvidia-GPUs nach China ins Rampenlicht, nun könnte Malaysia an der Reihe sein.
-
Auf Rekordkurs TSMCs April-Umsatz wächst um über 48 Prozent
Rush-Order und der allgemein extrem hohe Auftragsbestand hat TSMC im April Rekordumsätze beschert, die 48 Prozent über dem Vorjahr liegen.
-
Infineon Smart Power Fab Neue Bundesregierung genehmigt Millionen für Chip-Fabrik
Die neue Bundesregierung hat Infineon fast 1 Mrd. Euro an Fördergeldern für eine neue Chip-Fabrik bestätigt. Der Bau hat bereits begonnen.
-
CPU-Gerüchte Update AMD könnte Samsungs 4-nm-Fertigung für I/O-Dies nutzen
AMDs Prozessoren vertrauen seit Jahren auf CPU-Dies, die zusammen mit einem I/O-Die ein Komplettpaket ergeben. Der IOD soll geändert werden.
-
Advanced Packaging Intel will mit neuer Technologie TSMC-Kundschaft abwerben
Foveros-S ist der neue Name für Intels Gegenspieler zu TSMCs CoWoS-S. Intel offenbart viele Gemeinsamkeiten und hofft auf mehr Kundschaft.
-
Intel Direct Connect 2025 Foundry-Event mit viel Powerpoint, aber noch ohne Produkte
Vor einem Jahr gab es bereits ein Foundry-Event. Präsentiert wurden viele Roadmaps, doch Produkte bleiben rar. Vorerst geht es so weiter.
-
Gesetzentwurf in Taiwan TSMCs Übersee-Fabs sollen nicht State-of-the-Art sein dürfen
Der Silicon Shield bekommt Rückendeckung: Taiwans Regierung verabschiedet einen Gesetzentwurf, der TSMC beste Fabs stets in Taiwan vorsieht.