News Lithografiesystem: Canon Nanoimprint erschreckt ASML-Anleger an der Börse

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.362
Für die Börsianer, vor allem einige ASML-Anleger, hat sich Canon Ende der letzten Woche überraschend medial im Lithografiegeschäft zurückgemeldet. Geforscht wird an der neuen Nanoimprint-Technik jedoch schon seit längerer Zeit, zudem kommt sie bereits seit Jahren bei einigen Herstellern zur Probe zum Einsatz.

Zur News: Lithografiesystem: Canon Nanoimprint erschreckt ASML-Anleger an der Börse
 
  • Gefällt mir
Reaktionen: aid0nex, flo.murr, PietVanOwl und 11 andere
Auch wenn es nur 10 % sind von dem was ASML so hat sind das fast immer noch 2 Milliarden € was Canon da an Umsatz hat.
 
  • Gefällt mir
Reaktionen: BLR, Kitsune-Senpai, aid0nex und 15 andere
Interessant, davon höre ich das erste mal.

Wie macht man die Maske?

Das muss doch ein hochkomplexes und sehr fragiles Ding sein.
 
  • Gefällt mir
Reaktionen: bullit1, flo.murr und NMA
Vielleicht dumme Frage, aber was macht man damit?
 
  • Gefällt mir
Reaktionen: fox40phil
Freak_On_Silicon schrieb:
Vielleicht dumme Frage, aber was macht man damit?
Man belichtet damit Silizium Wafer, um Chips herzustellen.
 
  • Gefällt mir
Reaktionen: aid0nex, Rockstar85, flo.murr und 3 andere
Asoooooooooo jetzt ergibt das alles Sinn, danke.
 
  • Gefällt mir
Reaktionen: flo.murr und knoxxi
Ein Glück keine ASML Aktien gekauft...
 
  • Gefällt mir
Reaktionen: dualcore_nooby
Super! Monopole sind immer blöd, daher immer super wenn weitere Hersteller (wieder) einsteigen.
 
  • Gefällt mir
Reaktionen: aid0nex, AlphaKaninchen, Informant777 und 4 andere
Clever, die Produktionsstätten einfach in die Backrooms zu verlegen.
 
  • Gefällt mir
Reaktionen: [F]L4SH und panzercrak
Mitte der 70er war das die Revolution, dass man von physisch aufgedrückten Masken zu projizierten Masken gewechselt ist, jetzt gehts rückwärts. :)
 
  • Gefällt mir
Reaktionen: Unnu und NMA
Wie gut diese Systeme, die Canon nun als FPA-1200NZ2C unter gleichem Namen in den Markt schickt, letztlich wirklich sind, ist aktuell noch ein ziemlich großes Geheimnis. Es gibt einige Folien, die Potenzial vor allem hinsichtlich der Kostenersparnis zeigen, aber dabei bleibt es aktuell noch.#
Ein großer Bericht über "einige Folien" ;-)

Helfen könnte nach aktuellem Stand aber, dass die neuen Canon-Systeme noch nicht unter das US-Embargo für Hochtechnologie nach China fallen. Betroffen sind davon nicht nur alle EUV-, sondern in Kürze auch ASMLs modernste DUV-Systeme. Sollte Canons Technik aber so gut sein, wie es der Hersteller behauptet, dürften hier entsprechend schnell die Daumenschrauben auch in diese Richtung angezogen werden.
Die Frage ist auch ob Japan seine Wirtschaft ruinieren möchte. Man beachte wer der wichtigste und größte Handelspartner ist (import/export)

Import 2021

Where-did-Japan-import-from-in-2021.jpg


Export 2021

Where-did-Japan-export-to-in-2021.jpg


Quelle: https://atlas.cid.harvard.edu/explo...rget=Partner&partner=undefined&startYear=1995
 
  • Gefällt mir
Reaktionen: aid0nex, Rockstar85, Unnu und 4 andere
sehr interessant diese ganze Entwicklung... mal sehen was weiter passiert ^^
 
Hochtechnologie nach China ist ein schlechter Weg
 
Ergänzung ()

imperialvicar schrieb:
Hochtechnologie nach China ist ein schlechter Weg
Nur wenn es eine Einbahnstraße ist! Ist es eine Einbahnstraße?
 
  • Gefällt mir
Reaktionen: Alpenmutz
Klar, welche Hochtechnologie erreicht uns aus China? Und Hochtechnologie nach China schaffen um dann darauf zu Vertrauen, dass ein Diktator mit Weltmachtfantasien uns später auf gleichem Weg Hochtechnologie zu Verfügung stellt? Hmmm... Russland, China... ich weiß nicht ob man sich darauf verlassen sollte und diese auch noch aktiv fördern.

Abgesehen davon, Konkurrenz ist immer gut und sorgt für bessere Preise. ASML und TSMC braucht Konkurrenz!
 
  • Gefällt mir
Reaktionen: Grundkurs, Sherman789, HarryKlopper91 und eine weitere Person
Freak_On_Silicon schrieb:
Vielleicht dumme Frage, aber was macht man damit?
Ich habe die Frage mal an eine KI gestellt und speziell den Vergleich mit EUV angefragt, da mich das auch interessierte. Folgend die Antwort (bitte mit Vorsicht genießen, da es fehlerhaft sein kann).
Sowohl Nanoimprint-Lithografie (NIL) als auch extrem-ultraviolette Lithografie (EUV) sind Techniken, die in der Halbleiterfertigung verwendet werden, um feine Strukturen auf Wafern zu erzeugen. Sie unterscheiden sich jedoch grundlegend in ihrer Herangehensweise und Technologie.

Nanoimprint-Lithografie (NIL)

1. Mechanischer Prozess: Im Gegensatz zu herkömmlichen Lithografieverfahren, die auf Licht basieren, ist NIL ein mechanischer Prozess, bei dem ein Prägestempel mit einem Muster verwendet wird, um dieses Muster direkt in ein Polymer auf einem Wafer zu übertragen.

2. Prozessschritte:
a. Ein resistähnliches Material wird auf den Halbleiterwafer aufgebracht.
b. Ein Stempel, der das gewünschte Muster hat, wird auf das resistähnliche Material gedrückt, wodurch das Material in die gewünschte Form gebracht wird.
c. Nach dem Prägen wird das überschüssige Material weggeätzt und das gewünschte Muster bleibt zurück.

3. Vorteile:
- Potenziell kostengünstig, da teure Lichtquellen oder komplexe optische Systeme nicht erforderlich sind.
- Kann sehr kleine Merkmale mit hoher Genauigkeit reproduzieren.

4. Nachteile:
- Durch mechanischen Kontakt kann es zu Verschleiß am Prägestempel kommen.
- Herausforderungen bei der Anpassung an sehr große Wafer.
- Fehler können sich leicht über den gesamten Wafer ausbreiten.

Extrem-ultraviolette Lithografie (EUV)

1. Lichtbasiert: EUV verwendet extrem ultraviolettes Licht mit einer Wellenlänge von etwa 13,5 nm, um Muster auf Wafers zu drucken.

2. Prozessschritte:
a. Ein lichtempfindliches Material (Resist) wird auf den Wafer aufgetragen.
b. Ein maskiertes Bild (Reticle) des gewünschten Musters wird durch ein spezialisiertes optisches System projiziert und mit EUV-Licht belichtet.
c. Der Resist reagiert auf das Licht, und das unbelichtete oder belichtete Material wird anschließend weggeätzt, um das Muster freizulegen.

3. Vorteile:
- Kann sehr kleine Strukturen im Bereich von wenigen Nanometern erzeugen.
- Geeignet für den Einsatz in der nächsten Generation von Halbleitertechnologien.
- Kein mechanischer Kontakt bedeutet weniger Verschleiß.

4. Nachteile:
- EUV-Maschinen sind sehr teuer.
- EUV erfordert komplexe Lichtquellen und optische Systeme.
- Herausforderungen bei der Entwicklung von Resists, die bei der kurzen EUV-Wellenlänge wirksam sind.

Vergleich:

- Methodik: NIL ist ein mechanisches Verfahren, während EUV lichtbasiert ist.

- Skalierung: EUV wurde entwickelt, um die kontinuierliche Skalierung von Halbleitergeräten zu ermöglichen, indem kleinere Merkmale als bisherige Lithografietechniken ermöglicht werden. NIL hat zwar auch dieses Potenzial, hat aber mechanische Einschränkungen.

- Kosten: Während EUV-Maschinen aufgrund ihrer Komplexität sehr teuer sind, könnten NIL-Verfahren in einigen Anwendungen kostengünstiger sein.

- Anwendungsbereich: EUV ist in erster Linie für die Halbleiterfertigung vorgesehen, während NIL in einer Vielzahl von Anwendungen, von der Halbleiterfertigung bis zur Biochip-Herstellung, nützlich sein kann.
Darauf folgt dann die Frage wie der genaue Unterschied mit der Maske ist, da ja beide Verfahren eine Nutzen. Hier wieder die KI Antwort (bitte auch wieder mit Vorsicht genießen).
Sie haben recht, sowohl bei der Nanoimprint-Lithografie (NIL) als auch bei der EUV-Lithografie werden maskenartige Strukturen verwendet, um Muster auf einen Wafer zu übertragen. Die Verwendung und das Design dieser "Masken" oder "Stempel" unterscheiden sich jedoch zwischen den beiden Technologien:

1. Nanoimprint-Lithografie (NIL): Stempel

- Der Stempel in NIL ist ein physisches Werkzeug mit einem auf ihm eingravierten Muster. Dieses Muster wird durch mechanischen Kontakt direkt in ein resistähnliches Material auf dem Wafer übertragen.

- Der Stempel ist in der Regel aus einem harten, dauerhaften Material gefertigt, oft aus Silizium oder Quarz, und er muss sehr präzise hergestellt werden, um feine Strukturen mit hoher Genauigkeit reproduzieren zu können.

- Weil es einen physischen Kontakt zwischen dem Stempel und dem Material gibt, kann es zu Verschleiß kommen, was die Lebensdauer des Stempels begrenzen kann.

2. EUV-Lithografie: Maske (Reticle)

- Bei der EUV-Lithografie wird eine Maske oder ein Reticle verwendet. Diese Maske ist im Wesentlichen eine reflektierende Oberfläche mit einem darauf befindlichen Muster.

- Das extrem-ultraviolette Licht (13,5 nm Wellenlänge) wird auf die Maske gerichtet, und das reflektierte Lichtbild des Musters wird durch ein spezielles optisches System auf einen mit Resist beschichteten Wafer projiziert.

- Die Maske für EUV ist sehr komplex aufgebaut, da sie mehrere Schichten aus Materialien enthalten muss, die speziell für das EUV-Licht optimiert sind. Da EUV-Licht von den meisten Materialien stark absorbiert wird, verwenden EUV-Masken ein Bragg-Reflektor-Design mit vielen alternierenden Schichten, um das Licht effektiv zu reflektieren.

- Bei EUV gibt es keinen physischen Kontakt zwischen der Maske und dem Wafer, weshalb die Verschleißprobleme, die bei NIL auftreten, hier nicht relevant sind.

Zusammenfassung:

Beide Technologien verwenden maskenartige Werkzeuge, um Muster zu übertragen, aber die Herangehensweise und das Design dieser Werkzeuge sind unterschiedlich. Während NIL einen physischen Stempel verwendet, der durch Kontakt ein Muster überträgt, verwendet EUV eine reflektierende Maske, um ein Lichtbild des Musters auf den Wafer zu projizieren.
 
  • Gefällt mir
Reaktionen: Moerf, Nekrash, 9t3ndo und 2 andere
DFFVB schrieb:
Ein Glück keine ASML Aktien gekauft...
Ich hab welche, jedoch schon länger, mache mir aber absolut keine Sorgen wegen dieser News.
Das Verfahren von Canon ist, wenn überhaupt, nur eine Konkurrenz für DUV-Systeme.
Grundsätzlich besteht aber bei Technologie-Aktien immer die Gefahr, dass eine bis anhin führende Technik "über Nacht" zum alten Eisen gehört.
Doch bei den EUV-Lithografie-Systemen sieht es zur Zeit absolut nicht danach aus, als ob bald eine konkurrierenden "Killer-Technologie" auftauchen könnte.
 
  • Gefällt mir
Reaktionen: LukS und AlphaKaninchen
Ayo34 schrieb:
Klar, welche Hochtechnologie erreicht uns aus China?
Diese, die du gerade zum verfassen deines Beitrags genutzt hast!
 
  • Gefällt mir
Reaktionen: Alpenmutz, alex0r, Apocalypse und eine weitere Person
Zurück
Oben