News Samsung Foundry Forum 2023: 2-nm-Prozess ist 2025 startklar und 1,4 nm folgt 2027

Hoffentlich verteilen AMD und nvidia etwas die Produktion auf verschiedene Hersteller, dann bleibt der Wettbewerb erhalten.

Für Grafikkarten der bis 7600 / 4060 würden sich die minimal schlechteren Verfahren doch wirklich anbieten, vor allem beim Thema Stromverbrauch.
 
ben12345 schrieb:
Ich bin mir ziemlich sicher das die Chinesen dran arbeiten eine eigene Produktion aufzubauen
Das tun die schon seit Jahrzehnten... und sind seit Jahrzehnten dem Stand der Technik mindestens ein Jahrzehnt hinterher.

Das ist anscheinend doch nicht so einfach, wer hätte es gedacht.
Ergänzung ()

Augen1337 schrieb:
Gibt es einen reellen Vergleich der Strukturgrößen zwischen den Herstellern?
Hat das mal´wer gemessen?
Trelor schrieb:
Ja von der ITRS und IDRS.
Dr. Cutress (TechTechPotato) hatte gestern ein Video hochgeladen, wo eine relevante Folie mit Quelle ITRS bei war:
1687952362740.png


(Video mit Zeitstempel)
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: nyster, schneeland, Rawday und eine weitere Person
Intel bringt 18A zuerst für eigene Chips, mit foundry 18A Chips ist nicht vor H2 2026 zu rechnen. Übrigens bringt TSMC ihre backside power Variante erst mit N2P, der für 2026 auf der Roadmap steht. Intel bringt PowerVia mit bereits für 20A. Also Intel 18A und TSMC N2 Derivate werden wohl noch länger aktuell bleiben, zumal die größeren non-Apple Chips erst viel später das neueste von TSMC verwenden. N3 Chips von AMD/Nvidia wird es vielleicht erst im Jahr 2025 geben.
 
GT200b schrieb:
Stimmt, dennoch steigt die Transistorendichte mit jeder kleineren Fertigung,
Klar steigt die Transistordichte. Die ist wahrscheinlich auch bei Intel in den Jahren zwischen 14nm und 14++++++nm gestiegen.

Mir ging es aber um den Marketingnamen der Fertigung, der laut News bei Samsung noch immer mit 3 bzw. 2 nm bezeichnet wird.
Volker schrieb:
Impliziert der Schritt von 3 nm auf 2 nm, wie Samsung im Marketing seine Prozesse nennt, die real wie bei allen Herstellern so klein nicht sind,
Dadurch gibts immer wieder nachfragen, wieviele Atome das denn noch sind, wenn ein Gate nur noch 2nm breit ist usw.
 
Martinipi schrieb:
Das wurde uns in unserer Ausbildung schon von 28 nm erzählt, dass von den Leckströmen nicht viel mehr geht ... und die Entwicklung geht noch immer rapide voran. Wie kommst du drauf?
Und Leckströme ist das einzige was interessiert? Die Transistordichte steigt definitiv nicht mehr so stark wie früher mal.
Reine Shrinks sind kaum noch effektiv. Es geht eben mehr um tiefgreifendere Änderungen im das auszugleichen. FinFET, jetzt GAA und BPD. Das wird sehr spannend.


Von Samsung erwarte ich rein gar nichts. Deren semi Sparte ist noch schlimmer als Intel.
Kunden haben die auch so gut wie keine mehr. SoC werden da nur noch Low cost gefertigt. Selbst Samsung Electronics ist jetzt bei TSMC mit dem Snapdragon.
Bin mal gespannt was da überhaupt in 3GAP kommt und wann.
 
bensen schrieb:
Und Leckströme ist das einzige was interessiert? Die Transistordichte steigt definitiv nicht mehr so stark wie früher mal.
Reine Shrinks sind kaum noch effektiv.
Und deshalb sind wir plötzlich an einer unüberwindbaren Grenze angekommen? Vielleicht auch den Post lesen den ich zitiert habe?
 
bensen schrieb:
Und Leckströme ist das einzige was interessiert?
Die Leckströme (statische Leistungsaufnahme) sind bei modernen Nodes der limitierende Faktor.
Die Leistungsaufnahme beim Umschalten macht je nach Node nur noch 20-10% der gesamten Leistungsaufnahme aus. Um das zu kompensieren wird immer großflächiger auf HVT Zellen (und damit auch höhere Delays pro Zyklus) und auf Verbesserungen in Aufbau, Materialwahl (high K Materialien) und Geometrie gesetzt, um eine bessere Kanalkontrolle und weniger Tunnelströme zu bekommen.
 
  • Gefällt mir
Reaktionen: nyster
Martinipi schrieb:
Und deshalb sind wir plötzlich an einer unüberwindbaren Grenze angekommen? Vielleicht auch den Post lesen den ich zitiert habe?
Ja habe ich. Da steht nichts von einer unüberwindbaren Grenze.
 
frazzlerunning schrieb:
Dadurch gibts immer wieder nachfragen, wieviele Atome das denn noch sind, wenn ein Gate nur noch 2nm breit ist usw.

Silizium Atome haben einen Radius von 111 Picometer. Das sind 0,111 Nanometer. Selbst bei "echten" 2nm (sind wir noch weit von entfernt) wäre also rein von der Atomgröße her noch jede Menge Platz.

Das ist aber graue Theorie, denn bereits ab "echten" 5nm funktioniert das mit Silizium nicht mehr:

However, transistors are now approaching their theoretical limits when it comes to the sizes of their gates. Below roughly 5 nanometers, silicon can no longer control the flow of electrons from sources to drains because of a quantum-mechanical effect known as tunneling.

^ Quelle

Irgendwann müssen also neue Materialien her. Silizium wird irgendwann ausgedient haben. Letztes Jahr haben Wissenschaftler unter Laborbedingungen mit Graphen und Molybdenum funktionierende Transistoren mit 0,3nm Strukturen geschaffen. Da könnte die Reise hingehen...
 
  • Gefällt mir
Reaktionen: Krik und ONeil
ben12345 schrieb:
Ich bin mir ziemlich sicher das die Chinesen dran arbeiten eine eigene Produktion aufzubauen, meine das erst neulich gelesen zu haben.

Das ist nicht sehr realistisch. Das stecken Jahrzehnte an Forschung und Entwicklung in allen möglichen Bereichen drin. Die kann man a) nicht einfach nachbauen und b) hat man die Zulieferer dafür einfach nicht im Ansatz.
https://www.computerbase.de/2023-03...ndry-smic-muss-produktionsstarts-verschieben/

 
bensen schrieb:
Interessanter Diskussionsstil. Aber nein danke.
Du kannst gerne einen auf empört machen, aber wie genau würdest du die Aussage denn interpretieren? Was genau passiert am Ende der Fahnenstange? Wird eine andere Fahnenstange draufgeklebt?
 
KlaasKersting schrieb:
Density wie bei TSMC 1-2 Gens zuvor, Effizienz wie bei TSMC 2-3 Gens zuvor und Yields so katastrophal, dass Ermittlungen laufen, ob die Verantwortlichen die Zahlen vorab nicht schlichtweg gefälscht haben.

Soo gross ist der Abstand gar nicht.
Darum vergleicht man die Fertigung ja anhand von SRAM Zellen.

https://en.wikichip.org/wiki/5_nm_lithography_process

https://en.wikipedia.org/wiki/5_nm_process

Intels zukünftiger 4 Prozess ist auf dem Papier ziemlich dort wo Samsungs 5LPE (Early) welcher ab 2018 in Risikoproduktion war unterwegs.
 
Zuletzt bearbeitet:
modena.ch schrieb:
Soo gross ist der Abstand gar nicht.
Darum vergleicht man die Fertigung ja anhand von SRAM Zellen.
Genau das macht man nicht. Schau mal genauer in deiner Quelle nach. Man nimmt da die Größe von Standard Logik Schaltungen.
Analoge Schaltungen und SRAM bleiben außen vor.1688047365599.png
modena.ch schrieb:
Intels zukünftiger 4 Prozess ist auf dem Papier ziemlich dort wo Samsungs 5LPE (Early) welcher ab 2018 in Risikoproduktion war unterwegs.
Ja, wenn man Äpfel und Birnen vergleicht. Also in dem Fall UHD mit HP.
4LPP HD liegt gleich auf mit N7 HD. Da TSMC aber schon bei 5 bzw. so gut wie 3nm ist, sind es 1-2 Generationen Rückstand.
Intel 4 gibt's nur als HP.

Und bei der Effizienz liegt 4LPP auch irgendwo bei N6.
 
blubberz schrieb:
Es scheint, als wäre fast das Ende der Fahnenstange bei der Verkleinerung erreicht.
Die letzte Schätzungen liegen für 2nm bei $30.000 je 300mm Wafer oder etwa 50.000mm netto funktionsfähige Chips.

AMD und ca. 75 mm2 Zen6 Chiplet müsste dann $65 nur für das CPU Chiplet zahlen, dazu noch grob $50 für ein I/O Chiplet mit RDNA Grafil, AI und vielleicht noch wenigen little-Cores.

Gerade noch machbar, aber das Ende der Fahnenstange wird greifbar.
 
Zurück
Oben