News Nanometer-Rennen: Intel führt neue Node-Namen wie „Intel 7“ und „Intel 4“ ein

Häh 🤪

10nm wird Intel 7, und 7nm wird dafür Intel 4 genannt, wogegen 2nm nicht Intel 3, oder logischer 2 heißt, sondern Intel 20A.

Macht verständlich Sinn, nicht.
 
  • Gefällt mir
Reaktionen: Piter73 und Schmarall
Endlich traut sich Intel den Schritt heraus aus dem Nanometer-Bashing. Kleinerer Fertigungsprozess heißt nicht immer bessere Leistung. Auch die Einführung von Quasi-Chiplet-Design begrüße ich.

Verfolge das als AMD-User mit höchster Spannung.
 
  • Gefällt mir
Reaktionen: Dome87
Macht absolut Sinn. Das einzige, das etwas inkonsequent ist, ist dass bis Intel 3 komplett auf Struktureinheiten verzichten wird, mit 20A dann aber doch wieder damit begonnen wird. Wird aber vermutlich bis dahin ohnehin noch mal geändert.
 
xexex schrieb:
Sorry aber was für einen Bullshit erzählst du hier gerade? Wenn es um Server-CPUs geht, dann verbraucht AMD hier ebenfalls so viel und wenn du Notebook-CPUs meinst, dann sind die CPUs keineswegs grösser oder verbrauchen mehr Strom.
Renoir sind 150mm² bei 8 Core. I/O lässt sich nicht einfach herunterskalieren + hat auch Graphic Units
Chiplets sind so 80mm² bei 8 Core.
Tiger Lake ist bei mobile 146mm² bei 4 Core. Desktop fangen wir lieber nicht an.
Intel hat schon seit geraumer Zeit, um auch nur nähernd gleiche Performance zu erreichen, stets eine größere DIE Fläche und höhere Leistungsaufnahme gebraucht.

Such dir irgend einen beliebigen Test im Notebookbereich heraus, was auch immer für Fantasie TDP von beiden angegeben werden, Intel braucht 20W+ mehr bei selber Performance. Das konnte bsp. auch Linus testen, da er 2 baugleiche Geräte hatte, bei denen sich nur die CPU Unterschied. Auch PCGameshardware stellte es so fest. Wie das bei Teillast mit dem Akku aussieht ist wieder eine andere Story.

xexex schrieb:
Man kann es übrigens auch ganz anders drehen. Intel kann riesige Dies herstellen und macht damit anscheinend noch richtig viel Geld. AMD muss hingegen kleine Dies bei TSMC herstellen lassen, um da preislich überhaupt mithalten zu können.
Intel muss bei der eigenen Fertigungsstrasse aber ebenfalls Marge einrechnen. Das zu erklären wird mir jetzt aber zu aufwendig. Das Intel circa 10x mehr Absatz hat und OEM Verträge ohne Ende, weil was will der OEM sonst in der Masse an Geräten verbauen, kommt dazu. Und Intel kann nicht richtig viel Geld verlangen, reicht ein Blick in die "offizielle" (weil wir beide wissen das die für Großabnehmer nicht gelten) Server CPU Preisliste oder in die nicht vorhandene HEDT Sparte. Habe oft genug gehört das Intel selbst mit allen Rabatten nicht bei AMD Servern mithalten kann, nicht ohne Grund verliert Intel in der Sparte sogar 20% Umsatz. (Computerbase)

xexex schrieb:
Da wird nichts "kaschiert"
Da die CPUs nicht ein Stück schneller werden oder eher releast werden, wie soll ich das sonst nennen?

xexex schrieb:
weil die Konkurrenz der Meinung ist, man könnte Fortschritt mit Nummern vortäuschen.
Also ich sehe da schon Fortschritt bei TSMC, aus deinen eigenen Quellen. Da wird eigentlich nicht vorgetäuscht. Man führt das Namensschema das alle kennen fort.

xexex schrieb:
Es ist allgemein bekannt und vor diversen Seiten bestätigt, dass sich hier die Konkurrenz mit den Bezeichnungen potentielle Vorteile "erschummelt".
Also schummelt jetzt TSMC seit 2013, das ändert nichts daran das TSMC nicht laufende oder geplante Prozesse einfach unbenennt, weil man denkt, die Fachmenschen sowie Entscheider etc. pp. wären alle geistig behindert und wüssten nicht mindestens das was wir wissen.
Jeder mit nur ein bisschen Wissen in dem Bereich weiß das der Samsung 8nm Prozess nicht mal ansatzweise mit dem TSMC 7nm Prozess mithalten kann.

Also ich gebe dir natürlich recht, TSMC / Samsung / Intel haben keine Namen die der Realität in Ziffernfolgen aneinander gleichen. Was aber bisher nie ein Problem war.

xexex schrieb:
oder hat einen 5nm Prozess, der gerade einmal dem TSMC 7nm+/N6 Prozess entspricht?
Also warum benennt, nach deiner Logik folgend, TSMC nicht einfach Ihren 7nm in 5nm um, um da auch sofort wieder dem Schema von Samsung zu entsprechen und die "überforderten" Entscheider zu überzeugen? Weil es ein Dick Move ist und von Verzweiflung spricht.

###

Es ist halt erneut Intels Problem, wenn man eine Dekade im Vorraus so wunderhübsche Folien bringt und alle Namen festlegt, ohne dabei in Ignoranz auf die Namen der Konkurrenz zu schauen. Das zeigt auch "20A", klar auch hier wieder in Ignoranz einfach mal was rausgedonnert. Man hätte es auch "next" oder "Ångström-Ära" nennen können und abwarten. Aber man ist ja immer noch "Marktführer" (hust) und versucht einfach mal wieder neue Standards durchzudrücken xD
TSMC nennt es dann N2 und rutner auf N1, was jetzt? Soll TSMC mit seinem Schema brechen? Wie wird es für Intel besser wenn man wieder eine höhere Zahl da stehen hat? TSMC wird dann vielleicht auf 0N9 gehen.

Übrigens Lob für das zusammensameln der Folien, hab Sie schon lange nicht mehr so nah beinander gesehen.
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: Kitsune-Senpai
Für versierte Konsumenten ist dieser Schritt doch eigentlich irrelevant. Diese Leute lassen sich nicht von wohlklingenden Werbebegriffen blenden und achten wie bisher, nur auf die für sie interessanten leistungstechnischen Daten.

Das Marketing kann jetzt halt mit schönen Zahlen werben und der ein oder andere wird sich davon gewiss überzeugen lassen, zu einem Produkt aus dem Hause Intels zu greifen.

Egal ob nun 10nm++++ oder 7nm, am Ende ist doch wichtig, dass die Leistung stimmt und Intel den Wettbewerb schön anheizt. :D
 
Draco Nobilis schrieb:
Intel braucht 20W+ mehr bei selber Performance.
Mag sein..... Kritisiert habe ich aber diesen Blödsinn.
1627382237538.png


Draco Nobilis schrieb:
Also schummelt jetzt TSMC seit 2013, das ändert nichts daran das TSMC nicht laufende oder geplante Prozesse einfach unbenennt, weil man denkt,
Weil man jetzt seit Jahren schummelt, ist das in Ordnung? TSMC verpasst einfach seit Jahren jeden neuen Prozess eine kleinere Nummer, für dich ist es jetzt aber ein Problem, wenn Intel diesen Blödsinn mitmacht, um nicht schlechter da zu stehen?

Draco Nobilis schrieb:
Also warum benennt, nach deiner Logik folgend, TSMC nicht einfach Ihren 7nm in 5nm um, um da auch sofort wieder dem Schema von Samsung zu entsprechen und die "überforderten" Entscheider zu überzeugen?
Tun sie! Sie bringen einfach einen N6 Prozess und schon sind sie wieder "besser", genauso wie Samsung einen 8nm Prozess erfunden hat, damit Nvidia nicht so schlecht da steht.
1627382709065.png
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: QShambler, Bhaal3010, goonie und eine weitere Person
Ich bin hier noch etwas uneins, wie ich das so finde.

Fakt ist: Die nm Bezeichnung für die Belichtungsprozesse hat nur sehr wenig Aussagekraft, weil die wirkliche Strukturbreite viel größer als bspw "7nm" ist.

Allerdings ist das umbennen von Intels nodes auf "immer eins besser als tsmc" auch so ne Geschichte. Und letztendlich ist bspw "7nm super finfet" eine präzisere Bezeichnung als "4". Ich befürchte hier einen Informationsverlust.

Intel will erreichen, dass die Produkte von der Node entkoppelt werden, der Kunde also keine Ahnung hat, was hinter der "4" steht, damit man mit tsmc nicht Vergleichbar ist. Das macht Intel aber auch nur so lange, wie sie hinter tsmc herhinken, weil "a20" hat man sich ja auch auf die Roadmap geschrieben, was wieder eine physische Größe wie Nm ist.

also 30% sinnvoll, aber dann doch 70% Verschleierung und Marketing.
 
Draco Nobilis schrieb:
Das zeigt auch "20A", klar auch hier wieder in Ignoranz einfach mal was rausgedonnert. Man hätte es auch "next" oder "Ångström-Ära" nennen können und abwarten. Aber man ist ja immer noch "Marktführer" (hust) und versucht einfach mal wieder neue Standards durchzudrücken xD

Ist doch kein Standard, sondern einfach nur eine Bezeichnung durch die PR Abteilung, die eben deutlich feinere Abstufungen zulässt, ohne mit einem Komma hantieren zu müssen.
Die relativen Steigerungen der Fertigungsschritt sind in den Bereichen einfach nicht realistisch und nen Faktor 10 (@Ångström zu Nanometer) lässt sich besser unterscheiden und vermarkten.

Draco Nobilis schrieb:
TSMC nennt es dann N2 und rutner auf N1, was jetzt? Soll TSMC mit seinem Schema brechen? Wie wird es für Intel besser wenn man wieder eine höhere Zahl da stehen hat? TSMC wird dann vielleicht auf 0N9 gehen.

Von TSMC N3 zu N2 wird der Transistor also 33% kleiner, von N2 zu N1 50% kleiner und von N1 zu zu 0N9 dann nur 10%?

Die Werte sind doch genau so an den Haaren herbei gezogen und haben ebenso wenig mit den reellen Größen zu tun.

Warum hängt man sich hier wieder so an Bezeichnungen auf?

Namen sind Schall und Rauch.
Was zählt, ist auf dem Platz.
Hinten kackt die Ente.

Wenn Intel liefert, gut; wenn nicht, dann ist Kritik angebracht.

Tests abwrteb.
 
duskstalker schrieb:
Intel will erreichen, dass die Produkte von der Node entkoppelt werden, der Kunde also keine Ahnung hat, was hinter der "4" steht, damit man mit tsmc nicht Vergleichbar ist.
Wie kommst du zu dieser Behauptung? Intel 4 entspricht TSMC N4 was wird hier nicht vergleichbar?
 
Kagent schrieb:
„Aus 10 nm Enhanced SuperFin wird „Intel 7“, aus 7 nm wird „Intel 4““

Ergibt Sinn.
Natürlich ergibt das Sinn - aus Sicht der Firma. Man will eben wieder einmal seine Kunden verarschen. Das ist ja leider Gang und Gäbe, vgl. Grafikchipbezeichnungen:hammer_alt:
 
xexex schrieb:
Wie kommst du zu dieser Behauptung? Intel 4 entspricht TSMC N4 was wird hier nicht vergleichbar?

ja jetzt noch. Aber Intel benennt auch gerne Dinge mal um, wenns nicht klappt, und dann ist vielleicht Intel 4 eher vergleichbar mit tsmc 5nm oder gar 7nm. Ich hätte einfach gerne die technische Bezeichnung, die Intel auch intern nutzt, und nicht eine aus der Luft gegriffene Nummer, die Intel jetzt den scheinbaren Nachteil bei der Bezeichnung der Strukturgrößen abnimmt.

Die Vergleichbarkeit mit anderen Foundries ist nur scheinbar jetzt zu diesem Zeitpunkt gegeben. Es ist richtig, dass die Intel Prozesse prinzipiell mit einer größeren Strukturbreite beschrieben sind als bspw. tsmc, d.h. tsmc hatte einen Vorteil bei der Bezeichnung, aber doppelt falsch machts nicht richtig.

letztendlich geht's hier trotzdem nur um Marketing und Marketing hat oft wenig mit der Realität und viel mit Desinformation zu tun.
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai
Schmarall schrieb:
aus Sicht der Firma. Man will eben wieder einmal seine Kunden verarschen.
Hast du überhaupt einige Posts in dem Thread gelesen?
Denn nach deiner Aussage hat TSMC bisher die Kunden verarscht!

duskstalker schrieb:
und dann ist vielleicht Intel 4 eher vergleichbar mit tsmc 5nm oder gar 7nm.
Yeah Glaskugel-Intelbashing. Statt dummer Aussagen mal die Füße still halten.
 
duskstalker schrieb:
Ich hätte einfach gerne die technische Bezeichnung, die Intel auch intern nutzt, und nicht eine aus der Luft gegriffene Nummer, die Intel jetzt den scheinbaren Nachteil bei der Bezeichnung der Strukturgrößen abnimmt.

Die Vergleichbarkeit mit anderen Foundries ist nur scheinbar jetzt zu diesem Zeitpunkt gegeben.

Estimated-Process-Nodes.png


P1276 und P1278 klingen auch richtig geschmeidig. :p

Die Prozesse haben doch eh zu viele unterschiedliche Parameter, um alle mit einem Namen wissenschaftlich akkurat abbilden und vergleichen zu können.

Dazu wird dann auch längst nicht Alles auf einem DIE in der minimal möglichen Strukturgröße gefertigt. Wozu sich also an dem Namen aufhängen?

Hypothetisch:
Wenn eine Intel 7 Alder Lake Hybrid CPU einen vergleichbaren TSMC N5 Zen4 schlägt, ist dann entscheidend, in welchem Prozess das Ding gefertigt wurde oder ob es big.LITTLE ist?

Interessant ja, kaufentscheidend?
 
  • Gefällt mir
Reaktionen: xexex und goonie
Da bin ich ja dann mal gespannt ob der Schmu mit den Strukturbreiten bei Ångström seine Fortsetzung findet oder ob dort damit wieder reelle Strukturbreiten gemeint sind.
 
goonie schrieb:
Yeah Glaskugel-Intelbashing. Statt dummer Aussagen mal die Füße still halten.

man braucht keine glaskugel, man braucht nur ein geschichtsbuch, und in deinem fall vielleicht auch nen knigge.

v_ossi schrieb:
P1276 und P1278 klingen auch richtig geschmeidig. :p

stimmt, ca so eingängig wie das intel cpu lineup :D das war nicht ganz das, was ich gemeint habe.

v_ossi schrieb:
Die Prozesse haben doch eh zu viele unterschiedliche Parameter, um alle mit einem Namen wissenschaftlich akkurat abbilden und vergleichen zu können.

ja genau, deshalb finde ich ja die reduktion auf eine einfache ziffer problematisch. nehmen wir bspw. mal Global Foundries 14nm Prozesse:
14nm Finfet LPE = Low Power Early - "Pilot"14nm Prozess. hat AMD übersprungen.
14nm Finfet LPP = Low Power Plus - 14nm FinFet optimiert für low power (polaris 10, polaris 20, zen 1, vega)
12nm Finfet LP = Leading Performance - 14nm FinFet optimiert für Performance mit größeren Anpassungen wie 14LPP aber Masken- bzw. Portierungskompatibel. (polaris 30, zen+). hier besseres takt-power-scaling, höherer maximaler takt.

die bezeichnung der strukturgröße in Nm ist hier nicht mehr realitätsgetreu, aber man weiß trotzdem, was das hinterher für silizium ist und was man hier erwarten kann.

intel 4 = ????? - im besten fall hat jeder prozess eine eigene bezeichnung - dann wäre das ok. aber ich befürchte, dass hier kundentäuschung betrieben wird, indem unter "intel 4" bspw. alle iterationen eines prozesses oder eines verwandten prozesses vereint werden, das würde für 14nm bedeuten: "intel 10" wäre alles von intel 14nm bis 14nm+++, was einfach nicht das selbe produkt ist. der ur-14nm prozess von intel ist mal locker 15-20% schlechter als der aktuellste 14nm prozess.

oder im schlimmsten fall wird hier einfach eine art "fertigungs bzw. produktklasse" zusammengeschmissen, d.h. unterschiedliche strukturgrößen, optimiert auf takt oder low power. und wenn dann bspw. 10nm+ und 7nm unter "intel 4" laufen (bspw. für mobile) hat keiner mehr eine ahnung, was da eigentlich wirklich im mainboard steckt. ich sage nicht, dass das so kommt, aber intel hat mit dem schritt schonmal den fuß in der türe für den quatsch.

v_ossi schrieb:
Dazu wird dann auch längst nicht Alles auf einem DIE in der minimal möglichen Strukturgröße gefertigt. Wozu sich also an dem Namen aufhängen?

Hypothetisch:
Wenn eine Intel 7 Alder Lake Hybrid CPU einen vergleichbaren TSMC N5 Zen4 schlägt, ist dann entscheidend, in welchem Prozess das Ding gefertigt wurde oder ob es big.LITTLE ist?

Interessant ja, kaufentscheidend?

name ist wurst, es geht um den informationsgehalt.

die mainstream käufer interessiert sowas nicht. aber es gibt auch eine käuferschicht, die sich für details interessiert. und das neue namensschema von intel lädt extrem zu verschleierung ein.

man muss ja nur mal in andere bereiche schauen, wo das "geheimhalten" von präzisen bezeichnungen ein extremes problem ist:

HBM2 - hynix vs samsung: ist mal locker ein performanceunterschied von 15-25%.

DDR4 - Samsung B-die vs. Samsung C-die vs. Micron E vs. Hynix AFR vs. Hynix CJR. zwischen den IC's liegen ganze welten.

NVMe Controller und NAND auf NVMe SSDs waren auch erst neulich und immer wieder in der presse, weil hersteller einfach mal teile austauschen und das ding 20-50% langsamer wird.

ich wäre für eine verpflichtende angabe der kernkomponenten auf GPUs, SSDs, DIMMs. aber mit intel gehts jetzt beim silizium potentielll genau in die falsche richtung.

das ist mein eigentliches problem an der geschichte.
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai
@duskstalker Ich kann durchaus nachvollziehen, was du meinst, bin ja selber offenkundig daran interessiert, aber Intel muss theoretisch gesehen gar keine Angaben machen. Für deren Produkte ist das eh zweitrangig, da nur intern gefertigt wurde.
Hätte es irgendwas an den Produkten geändert, wenn gar nicht kommuniziert würde, in welchem Verfahren die hergestellt wurden?

Erst mit dem Bestreben jetzt mehr für andere Hersteller zu fertigen, muss man zeigen, wo man in Relation steht. Dazu braucht es dann wiederum mehr als nur einen passenden Namen. Wer Interesse an einer Fertigung bei Intel hat, wird wohl ne ganz gute Dokumentation mit den relevanten Größen bekommen, und selbst dann entscheiden Preis und Verfügbarkeit.
Ist ja kein Zufall, das Gaming Ampere im -im Vergleich zu TSMCs N7- 'schlechteren' Samsung 8nm Prozess gefertigt wird.

Wo ich dir aber uneingeschränkt Recht gebe, ist das nachträgliche ändern von Komponenten mit Performanceverlusten; das sollte irgendwie unterbunden werden.
 
xexex schrieb:
Inwiefern verwirrt man jemanden, wenn man ähnliche Technik ähnlich benennt?
In Intel 7 gefertigt? Was ist Intel 7? Keine Ahnung, müssen wir zuerst mal nachschauen. Schon ungeschickt, wenn sich nicht gleich von einer Bezeichnung auf irgendwas schliessen lässt. Eine Masseinheit dazu wäre viel besser, egal, ob die jetzt nur einigermassen stimmt. Aber Intel 7, was ist das? Irgendwas, na ja, egal, nichtssagend.
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai
Piter73 schrieb:
In Intel 7 gefertigt? Was ist Intel 7? Keine Ahnung, müssen wir zuerst mal nachschauen. Schon ungeschickt, wenn sich nicht gleich von einer Bezeichnung auf irgendwas schliessen lässt. Eine Masseinheit dazu wäre viel besser, egal, ob die jetzt nur einigermassen stimmt. Aber Intel 7, was ist das? Irgendwas, na ja, egal, nichtssagend.
Und bei TSMC heißt der aktuelle Prozess N7P, was sagt dir das im Vergleich zu I7?
 
  • Gefällt mir
Reaktionen: xexex und goonie
Piter73 schrieb:
Eine Masseinheit dazu wäre viel besser, egal, ob die jetzt nur einigermassen stimmt.
Mich interessiert seit Jahren nur die Dichte. Also die Anzahl der Transistoren pro Quadratmillimeter. Die Strukturgröße ist schon lange als Maß für den Fortschritt bei der Chipfertigung untauglich.
"This metric is all but obsolete today. As a replacement, we propose a density metric, which aims to capture how advances in semiconductor device technologies enable system-level benefits."
Intel reports a density of 100.76MTr/mm2 (mega-transistor per squared millimetre) for its 10nm process, while TSMC's 7nm process is said to land a little behind at 91.2MTr/mm2
PCGamer: Intel 10nm isn't bigger than AMD 7nm, you're just measuring wrong
 
  • Gefällt mir
Reaktionen: Piter73, goonie und Taxxor
Zurück
Oben