News TSMC: 5-nm-Fertigung liegt im Plan, 3 nm kommt leicht verspätet

Status
Neue Beiträge in diesem Thema müssen von einem Moderator freigeschaltet werden, bevor sie für andere Nutzer sichtbar sind.
Cool Master schrieb:
Wenn das so weiter geht müssten wir echt bald überlegen was wir statt Silizium nehmen.
Kein Sorge, 3nm sind noch lange keine echten 3nm.
 
  • Gefällt mir
Reaktionen: xexex
Vitali.Metzger schrieb:
Zen 3 wird auf den 7 nm+ Prozess setzen.

Wurde das bestätigt? Auf Folien von AMD findet man nur 7nm. Könnte natürlich bedeuten, dass man hier auf 7nm+ setzt, aber habe jetzt auch schon öfters gelesen, dass Sie evtl. noch mal die gleiche Fertigung wie bei Zen 2 nutzen und nur die neue Architektur bringen.

Simanova schrieb:
Dann können die großen Hersteller ja nochmal bis zum September die Füße stillhalten und gleich mit 5nm Produkten an den Markt gehen.

Eher unwahrscheinlich, da alle Designs für dieses Jahr final sein dürften. Zudem ist laut AMD Folien 5nm auch noch mal ein gutes Stück teurer in der Fertigung. Bis heute gibts ja kaum große 7nm Chips. Bis wir große Desktopchips in 5nm sehen, wird noch einige Zeit vergehen. Ich denke besonders bei GPUs wird man länger auf optimierte 7nm Prozesse setzen. Alleine weil man hier noch von der maximalen Packdichte sehr weit entfernt ist. Da ist also noch einiges an potential vorhanden, zudem dürften die Yields mittlerweile halbwegs gut sein, so dass sich große Chips in 7nm auch lohnen.

5nm wird man wohl eher bei kleinen Chips sehen, wie den AMD Chiplets oder auch Smartphone Chips. Würde mich nicht wundern, wenn wir den Prozess eher selten bei großen Chips sehen werden. gab ja immer mal wieder Prozesse, die AMD / Nvidia gerne ausgelassen haben und stattdessen auf gut laufende Prozesse gesetzt haben. Ich denke 7nm werden uns da ähnlich wie 28nm bzw 14nm (+-2) lange begleiten.

Piak schrieb:
Gibts ne Übersicht, welche Chips in welchem Prozess gefertigt wurden.

Findest du auf WikiChip
 
Bei den Kollegen vom 3DCenter wird befürchtet, dass
Gaming-Ampere wieder nur mit ca. +35% Mehrperformance
und Samsung-Chips in 8nm bzw. 10nm aufwarten wird.


Evtl. werden dann die inaktiven TC in Spielen dann endlich mit in die Berechnungen einbezogen,
um ein gewisses Leistungsplus zu erzielen, was ihre Kundschaft schließlich erwartet.
Der Prozeß selbst lässt jedenfalls keine großen Leistungssprünge erwarten.
Jedenfalls riecht es momentan nach einer Salami-Taktik bei Nv. Bitte nicht.
 
  • Gefällt mir
Reaktionen: Chismon
RayAlpha schrieb:
Frage eines Ahnungslosen: Wie weit runter kann man denn gehen? Ist 3nm die Grenze oder geht es noch kleiner?
Nach N3 kommt bei TSMC der Trick namens Gate-all-around, der die Packdichte bei gleicher Strukturgröße weiter erhöht. Solche Tricks wird man in naher Zukunft noch in petto haben, um nominell bis auf 1 nm heruntergehen zu können.
Shoryuken94 schrieb:
Da ist also noch einiges an potential vorhanden, zudem dürften die Yields mittlerweile halbwegs gut sein
Die Defektdichte ist bei N7 extrem schnell gesunken, innerhalb weniger Monate auf das Niveau von 14 nm. Gibt dazu einen Graphen auf Wikichips. So gesehen ist der Yield jetzt schon ausgezeichnet, nur der Wafer kostet halt weiterhin das Doppelte.
 
  • Gefällt mir
Reaktionen: tony_mont4n4 und Shoryuken94
Da bekommt die Konkurrenz von TSMC (mit Begruendung durch die Coronavirus Krise) wohl eine halbjaehrliche Verschnaufpause und da werden Samsung (mit deren Planung zur 3nm Fertigung) und Intel (mit deren Planung zur 5nm Fertigung) vermutlich froh sein.

Wenn das allerdings alle Fertiger (inklusive Intel) betreffen sollte, wird sich vermutlich am aktuellen Fertigungsvorsprung von TSMC insgesamt wenig aendern, es sei denn man hat hier oder da mit der Implementierung zu kaempfen (wie bei Intel hinsichtlich der 10nm Node der Fall), aber danach sieht es scheinbar nicht aus.
 
gaelic schrieb:
Das sind heute generell nur noch (Marketing-)Namen, bei jedem Hersteller.

Also vergleicht man die Leistungsfähigkeit in Relation zueinander und anhand der Produkte, dabei heraus kommen. Damit meine ich natürlich kaufbare Produkte, keine bunten Werbeversprechen für irgendeinen "Lake" in ferner Zukunft.

PS: Warum steht denn DIESES Thema unter Moderationspflicht?
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: Gortha und jk1895
fp69 schrieb:
Bei den Kollegen vom 3DCenter wird befürchtet, dass
Gaming-Ampere wieder nur mit ca. +35% Mehrperformance
und Samsung-Chips in 8nm bzw. 10nm aufwarten wird.

35-40% sind auch durchaus realistisch. Und wenn man mal bedenkt, dass AMD bis heute mit Ach und Krach gerade mal an eine über 3 Jahre alte 1080Ti herankommt, dann ist es wenig verwunderlich, dass Nvidia hier eher den sicheren Weg bei den Gamingkarten geht.

Angeblich sollen bei Ampere ja die Shader sogar genau die gleichen wie bei Turing sein und es gibt nur die neue Fertigung + Neue Raytracing und Tensor Cores und ein etwas anderes Chiplayout (Geänderte Shaderzahl / Speicherinterface in den einzelnen Klassen).

Mit ca 35% mehr wäre damit eine potentielle 3070 in etwa auf Augenhöhe mit einer 2080Ti, eine 3080 wäre 10-15% schneller als eine 2080Ti und die 3080Ti dann halt 35-40% schneller.

Und wenn ich ehrlich bin, mehr verlangt der Markt auch nicht unbedingt. Wenn man sich mal die Leistungsdaten der neuen Konsolen anschaut, dann wäre Nvidia damit gut bedient. und Navi 2 könnte man damit wohl auch gut in Schach halten. Und wenn man mal schaut, auch im Jahr 2020 spielt die überwiegende Mehrheit noch in Full HD oder gar darunter.

WQHD ist dann die mit Abstand am weitesten verbreitete Auflösung über 1080p und auch hier reicht die Leistung ab der 2080Ti für fast alle aus.

Einzig 4K bleibt weiterhin das Problemkind, wobei mit leicht angepassten Settings eine 2080Ti da auch fast immer eine sehr gute Figur macht. Und es bleibt auch weiterhin eine ziemliche Nische.

Zudem könnte man damit wohl die Chipgrößen um ein gutes Stück Redutieren, ohne die Fertigungskosten explodieren zu lassen

Colindo schrieb:
Die Defektdichte ist bei N7 extrem schnell gesunken, innerhalb weniger Monate auf das Niveau von 14 nm. Gibt dazu einen Graphen auf Wikichips. So gesehen ist der Yield jetzt schon ausgezeichnet, nur der Wafer kostet halt weiterhin das Doppelte.

Danke für die Info. Ja bei den Kosten wird man sich halt zurückhalten. Daher wahrscheinlich auch der Schritt von Nvidia auf Samsungs 8nm zu setzen. Damit bekommt man die enormen Chipgrößen etwas reduziert, aber die Fertigung dürfte noch ein gutes Stück günstiger sein.

fp69 schrieb:
Jedenfalls riecht es momentan nach einer Salami-Taktik bei Nv. Bitte nicht.

Naja AMD ist da nicht besser. Die verfolgen die Salamitaktik ja sogar in der Weitergabe von Informationen und beim Marketing.

Nvidia hat einen komfortablen Vorsprung. AMD hat sein Pulver bei der Fertigung bereits verschossen. Mit neuer Architektur plus neue Fertigung konnten Sie in etwa das Niveau von Pascal (aka Maxwell Refresh) erreichen. Dieses Jahr kann AMD lediglich eine neue Architektur liefern. Fraglich, ob Sie hier wirklich noch mal einen riesigen Sprung hinlegen. AMD kann im Grunde dann nur mit mehr Shadern kontern und wird wahrscheinlich auf Turingniveau landen. Viel mehr würde ich mir da realistisch auch nicht erwarten.
 
N3 war doch der Prozess der einen funktionierenden Gate-all-around Transistor besitzen sollte oder? Ein echt spannendes Thema. Wenn man den nochmal shrinked weiß ich nicht mehr was man noch verbessern könnte für einen richtig großen Sprung.
Die fin fets, oder das was davon übrig ist bleiben uns ja wohl bis N5 erhalten.
 
Ich glaube kaum das die anderen Fertiger mit weniger Zeitverlust davon kommen. Wo stehen den die Firmen von Samsung (Okay die sind glaub in Korea) oder Intel? Des Weiteren hängen die auch am ende einer Zuliefererkette auf die sie wenig Einfluss haben. Alleine die Produktion, Menge und Qualität der Rohmaterialien ist ja enorm aufwändig.
Ich wage die Prognose das das aktuelle Jahr von Verschiebungen und Planänderungen gekennzeichnet wird. (Sagt meine Glaskugel, nicht ich)

Edit: @HaZweiOh wie es scheint sind alle Themen mit Corona Bezug jetzt moderiert. Ich kann nur spekulieren das es sich um eine Maßnahme handelt um Fehlinformationen zum Thema Corona Epidemie zu stoppen.
 
Inwiefern 5nm Fertigung wirklich der physikalischen Größe entsprechen, sieht man recht gut in diesem Video:

Da hat jeder seine eigene Definition auf welche Größe sich das überhaupt bezieht
 
  • Gefällt mir
Reaktionen: tony_mont4n4
[wege]mini schrieb:
23 Milliarden Investition ist so unglaublich viel, dass es mit "normalen" Markt-Investitionen" kaum zu erklären ist.
Das ist mißverständlich dargestellt. Die Summe beinhaltet auch Investitionen, die noch in N7 gehen, denn Phase 3 der Fab-18 wird auch das produzieren; außerdem ziehen sich die Investitionen über Jahre hin.
Und schließlich repräsentiert TSMC nicht nur die Hälfte des globalen Foudry-Marktes, sondern, je nach Node, 70-100% der Kapazität bei cutting-edge Prozessen.

Tzk schrieb:
Das war auch mein Gedanke. Apple hat wohl für diesen Herbst auf N5 gewechselt, während AMD bei N7(P?) bleibt. Damit kann AMD mehr Kapazitäten in N7(P?) buchen. Verspätet sich nun N3, so wird Apple wohl eine extra Runde auf N5 machen und damit die N5 Kapazitäten länger blocken. Hoffen wir mal das das keine Auswirkungen auf Zen4 (Anfang 2022) hat...
Apple wird 2021 den A15 in N5P herstellen lassen, für nächstes Jahr war eine Produktion in N3 eh nicht vorgesehen.
In Produktion ist z.Z. nur Phase 1, Phase 2 befindet sich gerade erst in der Ausrüstung, der Produktionsstart ist für's Jahresende vorgesehen.

Bildschirmfoto 2020-04-14 um 12.52.46.jpg

Fab-18
Rechte Seite Phase 1, linke Seite hinten Phase 2, linke Seite vorne Phase 3 im Rohbau
Würde man sich umdrehen, könnte man 200m südlich den Gebäudekomplex der Fab-14 sehen.

Shoryuken94 schrieb:
Wurde das bestätigt? Auf Folien von AMD findet man nur 7nm. Könnte natürlich bedeuten, dass man hier auf 7nm+ setzt, aber habe jetzt auch schon öfters gelesen, dass Sie evtl. noch mal die gleiche Fertigung wie bei Zen 2 nutzen und nur die neue Architektur bringen.
Tatsächlich hatte AMD ursprünglich 7nm+ genannt. Das wurde von vielen als N7+ gelesen, was aber seitens AMD gar nicht gemeint war und sie haben deshalb das + wieder kassiert. Es wird ein Prozeß aus der N7-Familie werden und mehr ist nicht bekannt.

PS828 schrieb:
N3 war doch der Prozess der einen funktionierenden Gate-all-around Transistor besitzen sollte oder?
N3 wird TSMCs letzter FinFET-Prozeß, ein Name für den GAA-Prozeß wurde mWn. noch nicht vergeben. Samsung plant 3nm mit GAA zu bringen, der Prozeß wird aber wohl in der Transistordichte deutlich hinter TSMC zurückbleiben, eine Entwicklung, die schon bei 5LPE/N5 zu sehen ist.
 
Zuletzt bearbeitet von einem Moderator:
  • Gefällt mir
Reaktionen: bensen
Ich gehe stark davon aus das die 3nm Fertigung im Plan liegt, jedoch kein Bedarf da ist weil es keine Konkurrenz gibt. Wäre ja doof sich das eigene Geschäft kaputt zu machen.
 
Dito schrieb:
3nm Marketing oder tatsächliche Größe?
Wie weit ist es technisch überhaupt möglich?

Hier die Daten für 7 nm: https://en.wikichip.org/wiki/7_nm_lithography_process#Industry
Hier für 5 nm (soweit bekannt): https://en.wikichip.org/wiki/5_nm_lithography_process#Industry
Wenig ist über 3 nm bekannt: https://en.wikichip.org/wiki/3_nm_lithography_process

3nm soll andere Transistorgeometrien bekommen, da ist der reine Zahlenvergleich wenig aussagefähig. Wie auch bei Planar vs. FinFet.
 
Sun_set_1 schrieb:
Ja und Nein. Der Unterschied ist, die Technologie kommt zu großen Teilen nicht aus Taiwan oder China, sondern aus Holland und Deutschland. Nur weil die Fabriken dort aufgebaut werden, sind diese Länder nicht gleichzeitig Technologie-Inhaber.

Eigentlich kommt die Technik aus der ganzen Welt, nur Kleingeister denken bei dieser Technik in Nationalstaaten.
 
  • Gefällt mir
Reaktionen: Tzk
@Sun_set_1
Die Expertise fur die Halbleiterfertigung liegt natürlich bei TSMC. Natürlich haben die auch ihre Zulieferer. Das ist überall so. Keine Firma macht von vorne bis hinten alles selber.
Das Equipment kann ja auch jeder kaufen, nur ist man damit allein kein Stück weiter in der Fertigung.
 
gaelic schrieb:
Was bedeuten 7nm, 5nm und 3nm bei TSMC. Super wär eine Infografik zu diesem Thema mit einem Herstellervergleich und einer Abschätzung was die einzelnen Größen bedeuten.

Hier mal ein density Vergleich der aktuellen Nodes.

1586868949900.png


N7+ bei TSMC wird etwa 20% dichter laut WikiChip als N7.
 
  • Gefällt mir
Reaktionen: Tzk
War eigentlich zu erwarten.
Bei ASML usw. läuft die Produktion der (Belichtungs)Maschinen ja bestimmt auch auf Sparflamme.
Würde mich sogar nicht wundern wenn auch die 6Monate noch eher optimistisch angesetzt sind.

BTW: Warum ist ein Kommentarthread zu einem Artikel über Chipfertigung der unter "Wirtschaft" getaggt ist, im Bereich Politik&Gesellschaft ?
Achja, das "C"-Wort steht in der News.....
Sorry, aber jeden Kommentarthread zu einem Artikel in dem das Wort "Corona" auftaucht, in den Zwangsmoderations-Bereich zu verschieben wirkt langsam leicht lächerlich.
Bitte dann konsequenterweise auch zB den American-Football Stammtisch im Bereich Sport,Freizeit und Unterhaltung zwangsmoderieren, denn die NFL steht ja momentan auch fast still wegen Covid19 !
 
Simanova schrieb:
Dann können die großen Hersteller ja nochmal bis zum September die Füße stillhalten und gleich mit 5nm Produkten an den Markt gehen. Aber wahrscheinlicher ist ein Release vieler 7nm Produkte, besonders im Grafiksegment bei Nvidia.

das eine ist das was tsmc und co. als aktuelstes vom band laufen lassen können - meistens eher im segment der mobilen soc (für smartphones, tablets & co.) - das andere was jeweils hersteller von pc-hardware-lösungen wie nvidia oder amd.
hier ist das tape-out meistens 12-18 monate bevor man das produkt beim händler kaufen kann.
d.h. wenn nvidia im der zweiten hälfte des jahres ihre 7nm grakas auf den markt loslassen möchte, dann ist die fertigung hier schon vor paar monaten angelaufen...
 
foofoobar schrieb:
Eigentlich kommt die Technik aus der ganzen Welt, nur Kleingeister denken bei dieser Technik in Nationalstaaten.

Und nur Kleingeister implizieren Kleingeistigkeit bei anderen Leuten.

Alle anderen lesen die Quelle und sehen, dass wenn ein Land 40% der gesamten Zulieferteile liefert, die restlichen 60% vom kompletten Rest der Welt kommen. Und es somit defacto richtig ist zu sagen, das eine Land liefert mit 40% den Hauptanteil.

Und überhaupt ging es nur darum aufzuzeigen, dass weder Taiwan noch China hier die Technologieführerschaft inne haben, wie so oft gesagt wird.

Davon ab, mir fehlt die Trennschärfe bei der Kleingeistigkeit. Hört diese erst auf wenn ich Wirtschaftsunionen vergleiche? Ganze Kontinente? Oder beginnt die deiner Meinung nach ganz allgemein bei Länder-Vergleichen?

@bensen

Selbstverständlich. Wenn ich es aber richtig im Kopf habe kannst Du z.B. EuV aktuell ohne entscheidende Teile von Zeiss nicht produzieren, da diese der alleinige Produzent sind. Man möge mich korrigieren.

Allgemein wollt ich schlicht darauf hinaus, dass die Fabriken in Taiwan und China hochgezogen werden, liegt alleine an den Investitionskosten. Eine Rein-Raum-Fabrik und Labor dürften in China o. Taiwan deutlich günstiger und schneller hochgezogen werden, als dies überhaupt in Europa geplant wäre.

Und es dürfte weniger damit zu tun haben, dass in Fern-Ost immer wieder eine Technologieführerschaft angeführt wird, die in der Form, in diesem Sektor, so nicht vorhanden ist.
 
Status
Neue Beiträge in diesem Thema müssen von einem Moderator freigeschaltet werden, bevor sie für andere Nutzer sichtbar sind.
Zurück
Oben