News Für Intel Arrow Lake: Hinweise auf Z890-Mainboards für Core Ultra 200 im Desktop

Multivac schrieb:
Wird bestimmt lustig im reviewer guide den Youtuber zu erklaeren wie sie ohne hyper-threading keine all core performance Regression erreichen. Den marketing Job wuerde ich nicht machen wollen.
Das könnte man zum Beispiel mit einer Folie machen, auf der alle HT-verursachten Vulnerabilities fortan als "Not affected" aufgelistet werden.

Und für jede dieser weggefallenen Vulnerabilities fällt dann auch eine Mitigation weg. Wenn man sich anschaut, wie "teuer" diese Mitigations teils sind, könnte deren Wegfall durchaus attraktiv sein.

Das Wegfallen von HT beschleunigt die Cores also nicht nur wegen der geringeren Komplexität der Schaltung, sondern auch weil diese Mitigation-Handbremse zumindest gelockert wird.

Ausserdem steht das Powerbudget eines Cores auch nur dem einen Thread darauf zur Verfügung, er muss sich dieses nicht mit einem anderen Thread teilen. Gleiches gilt für den L1-Cache.

Dazu kommt, dass der Threaddirector fortan ein wesentlich einfacheres Leben hat, weshalb durch diesen günstigere Entscheidungen zu erwarten sind.

Ich finde den Verzicht auf HT daher sehr gut. HT ist eine Notlösung, um den Mangel an physischen Rechenwerken zu kaschieren sowie deren Auslastung zu erhöhen. Aktuelle CPUs haben zum einen genug Kerne, zum anderen aber auch bereits eine sehr hohe Auslastung der Rechenwerke, vor allem thermisch.

Meine Prognose: Zen 6 wird mitziehen!
 
  • Gefällt mir
Reaktionen: Coeckchen und KlaasKersting
Yosup schrieb:
Ausserdem steht das Powerbudget eines Cores auch nur dem einen Thread darauf zur Verfügung, er muss sich dieses nicht mit einem anderen Thread teilen. Gleiches gilt für den L1-Cache.
Das ist richtig, allerdings bewegen wir uns auf der V/F Kurve bereits im sehr steilen Bereich. Sprich (viel) mehr elektrische Leistung wird in nur sehr wenig zusätzliche Rechenleistung umgesetzt. Sieht man ja auch in den Tests der aktuellen Intel, die teilweise ohne Powerlimit durchgeführt wurden. Die Skalierung ist miserabel.
 
Yosup schrieb:
Meine Prognose: Zen 6 wird mitziehen!
Ich bin gespannt. Allerdings ist SMT (+~30%) nicht dasselbe wie HT(+~20)
Ich vermute dass AMD diesen Schritt nicht so schnell gehen wird, sie haben deutlich weniger Probleme in der Umsetzung.

Die Benchmarks wie viel IPC die Intel Prozzesoren seit veröffentlichung verloren haben ist uns CB leider schuldig geblieben. Zuletzt hieß es nochmal zusätzlich 3%.

Würde mal gerne nen Raptorlake ohne Patches sehen.

Noch viel Spannender wird ne NPU die es möglich macht aus vielen physischen Kernen 1 logischen zu machen. Dann könnten softwareentwickler wieder ohne multithreading implementieren
 
Zuletzt bearbeitet:
RogueSix schrieb:
Ich erwarte, dass AMD mit den Zen 5 X3D CPUs regelrechte Kreise um ARL ziehen werden.
Also Zen 4 kann nur wegen dem 7800X3D scheinen. Alles andere muss man abwägen. So wie AMD bis zum letzten Tag, also bis Alder, 300€ für den 5600X verlangt hat, so schnell musste AMD die Preise anpassen, als 13600K vor 7950/7900X/7700X in Games stand. Jetzt kosten eben 8 Kerne 300€.
War lange Zeit ein 5900X zur Konkurrenz die beste Zocker und Anwendungsrecheneinheit, ist mit AM5 Ernüchterung eingetreten. Klar, Zocker CPU ist ein schönes Prädikat, aber all die anderen Modelle brauchen genauso ein Abwägen, wie mit Sockel 1700. Ich sehe da keine Dominanz. Und günstig ist AM5 auch nicht. Phoenix im Desktop scheint wohl AMDs Endlager für diese Produkte zu sein. Mit freche Preise.
Warum ist ein 8400F Boxed ohne Kühler teurer als ein 7500F tray? Klar kann man sich jetzt Argumente um die Ohren schmieren, doch am Ende sind es 2 Produkte mit dem Prädikat Ausschuss. Für den 8400F hat man eh nur ein Argument, nämlich geringere Leistungsaufnahme im Leerlauf.

Natürlich kann man auch ein eher schlechteres Bild zeichnen, wissen werden wir erst im Herbst. Allerdings war auch Meteor plötzlich kein Thema mehr, aber ständig Arrow bei Intel. Ergebnis war, Umbenennung in 14000 und ein Jahr warten. Vermutet wird ja, dass Panther als Refresh für 1851 kommen soll.
Da AMD nicht nur gefühlt immer später ihre Teile bringt, rechnet niemand vor Herbst 2026 mit Zen 6. Also muss Zen 5X3D schon in diesem Jahr schneller als Panther sein. Ich weiß, ein möglicher 9800X3D soll erst 2025. Trotzdem muss schon im Herbst klar sein, dass Zen mit L3 Cache schneller sein muss, oder mindestens gleicher Level.
Eigentlich müsste Granite Ridge in Games Arrow deutlich schlagen und der 3D Cache bringt dann nochmals eine Steigerung.
 
  • Gefällt mir
Reaktionen: fox40phil
RogueSix schrieb:
Gegen Zen 5 wird Arrow Lake kein Land sehen. Wenn Intel überhaupt mal über Arrow Lake und/oder den 20A Prozess sprechen, dann nicht ohne im selben Atemzug direkt 18A und/oder Panther Lake zu erwähnen.
Gelsinger selbst betont stets, dass 20A nur die Brücke zum 18A Heilsbringer-Prozess ist, auf dem die Hoffnungen des gesamten Unternehmens ruhen.

+1
18A wird etwas besser, aber ich sehe Intel sogar erst später mit neuer ASML Technologie wieder vorne dabei, das sollte dann 27/28 irgendwann sein.
 
Tzk schrieb:
Die Hälfte der Menschen ist dümmer als der Median. Median != Durchschnitt.
:-)
Ergänzung ()

Tzk schrieb:
Die Hälfte der Menschen ist dümmer als der Median. Median != Durchschnitt.
:-)
Lool....jetzt bestätige ich das noch im vollen Umfang.
1x löschen bitte....
 
  • Gefällt mir
Reaktionen: thelittledevil
Multivac schrieb:
Wird bestimmt lustig im reviewer guide den Youtuber zu erklaeren wie sie ohne hyper-threading keine all core performance Regression erreichen.
Es soll nicht nur Hyper-Threading wegfallen bei Arrow Lake S, auch der Turbo Boost Takt soll geringer sein, laut Insidern soll der Turbo Boost Takt selbst beim Top-Modell unter 6 GHz bleiben.
Laut Insiderinfo sollen Single-Core und Multi-Core Performance bei Arrow Lake S allerdings dennoch höher sein im Vergleich zu Raptor-Lake S Refresh. (Spitzenmodell vs. Spitzenmodell sollen es 20% sein)
 
RogueSix schrieb:
. Das wird für Intel erneut alles sehr peinlich in Sachen Performance vs. Effizienz.
Glaube die effizienz könnte ganz ordentlich ausfallen. Aber bei der absoluten Leistung ohne HT und ggf. niedrigerem Takt dürfte es schwierig werden im Top-Segment. Der Rest geht über den Preis.
 
Ich bin ja wirklich etwas gespannt, was für Produkte wir von "beiden Lagern" zur Computex angekündigt bekommen... Irgendwie bin ich seit Ostern "hibbelig" auf ein echtes Upgrade (von 5900X), obwohl ich mir selbst sage, dass vor Mitte 2025 nichts ansteht ;)
 
  • Gefällt mir
Reaktionen: dualcore_nooby
Ned Flanders schrieb:
Ja warum eigentlich?
Das frag ich mich auch immer, aber die Intel Jünger kaufen. Selbst Scheiße in Tüten würden die wahrscheinlich kaufen solange die Packung blau ist und Intel drauf steht.
Ergänzung ()

mr_clark schrieb:
Irgendwie bin ich seit Ostern "hibbelig" auf ein echtes Upgrade (von 5900X), obwohl ich mir selbst sage, dass vor Mitte 2025 nichts ansteht ;)
Es gibt eig auch keinen Grund der 5900X stemmt noch alles ohne Probleme.
Ich gucke auch immer und sage mir dann wofür?
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: Smartbomb, mr_clark und fox40phil
Philste schrieb:
Zum Glück ist Arrow Lake zum Großteil N3.

Nö. Das betrifft nur die IGP Tile. Das "Herzstück", die CPU an sich, also die compute tile wird bei ARL in Intel 20A gefertigt werden.

Vielleicht verwechselst Du das mit dem rein mobilen Lunar Lake? Da gibt es tatsächlich Gerüchte, dass der komplette Chip von TSMC kommen könnte (inklusive TSMC 3nm compute tile), weil Intel öffentlich für Lunar Lake immer noch keinen hauseigenen Prozess bekanntgegeben haben. Man weiß bei Lunar Lake bisher nur, dass wie bei Arrow Lake TSMC 3nm für die GPU tile genutzt werden soll.
Ob die Lunar Lake compute tile in 20A oder komplett in TSMC 3nm gefertigt wird, ist Thema von Spekulationen und Gerüchten.
 
  • Gefällt mir
Reaktionen: Jan
RogueSix schrieb:
Nö. Das betrifft nur die IGP Tile. Das "Herzstück", die CPU an sich, also die compute tile wird bei ARL in Intel 20A gefertigt werden.
Es gibt einen Die, der in 20A ist (6+8, gerüchtehalber für die Einsteiger Desktop-SKUs). Ansonsten sagen ausnahmslos alle Gerüchte, dass sowohl der 8+16 Die für das kommende Topmodell, als auch alle Mobile Dies in N3 sind.

Speziell bei Lunar Lake ist es auch schon kein Gerücht mehr, hier, von der VisiON 2024:
1000007172.jpg

Lunar Lake nur External.
 
Zuletzt bearbeitet:
Endlich mal wieder NEUE Board´s/Chipsätze :D
 
Philste schrieb:
Es gibt einen Die, der in 20A ist (6+8, gerüchtehalber für die Einsteiger Desktop-SKUs). Ansonsten sagen ausnahmslos alle Gerüchte, dass sowohl der 8+16 Die für das kommende Topmodell, als auch alle Mobile Dies in N3 sind.

Oh je... wenn das stimmt, wäre das ja eine neuerliche Bankrotterklärung (die wievielte inzwischen? :D ) für die Fertigungssparte von Intel.

Ich meine bei Mobile kann ich mir das vollständige Ausweichen auf TSMC analog zu Lunar Lake ja noch vorstellen, weil es sonst für Intel im mobilen Bereich extrem peinlich wird bei Vergleichen mit Apple, Qualcomm und allem, was da sonst noch so auf ARM basiert.

Aber wenn man sogar das Desktop-Prestigeprodukt nicht mehr selbst auf die Kette bekommt... nun, wie gesagt, ich denke es wird ernsthaft Zeit für Intel, über ein Ende beim Hinterhecheln nachzudenken und sich wie GloFo als Spartenfertiger anzudienen.

Kapazitäten haben Intel in Hülle und Fülle und sie könnten da bestimmt einiges an Aufträgen an Land ziehen, was keine leading edge nodes benötigt. Leading edge kann Intel eben nicht mehr. Je früher sie das selbst endlich einsehen, desto weicher wird die Landung...
 
RogueSix schrieb:
Leading edge kann Intel eben nicht mehr. Je früher sie das selbst endlich einsehen, desto weicher wird die Landung...
Und warum muss das so bleiben?
GloFo konnte ja mal mithalten, war allerdngs auf Gedeih und Verderb an AMD gekoppelt. Die haben als Gesamtfirma mit der Übernahme von ATi und der Bruchlandung Namens Bulldozer (das Konzept hat einfach nicht zur Software der Zeit gepasst) einfach nicht die liquiden Mittel gehabt um die Fertigung durch den einen versemmelten Innovationsschritt zu tragen.
Intel hat diese Mittel. Intel hat immer noch jede Menge KnowHow. Die haben sich bei einem Schritt verrannt und rennen seitdem hinterher. Können sie aber aufholen. Wer weiß, TSMC ist ja derzeit sehr zögerlich den nächsten Schritt bei EUV zu gehen, sagen - wie damals Intel - beständig dass die nächsten Fortschritte noch mit bestehender Technologie gelöst werden können.
Wenn sie sich da verrennen, haben Intel und Samsung wieder Chancen.

P.S.:

Ich müsste so lachen - und halte es leider auch nicht für unrealistisch - wenn dieses "Top AI"-feature einfach ein kleines externes Display ist welches Gigabyte auf unserem Tisch sieht um dann Copilot und Co quasi als Ansprechpartner "nebenher" zu haben. So wie der Gerät bei Nio auf dem Armaturenbrett darauf wartet dass man mit ihr quatscht.
 
Zurück
Oben