News Samsung folgt Intel: Rückseitige Stromversorgung in Chips bereits ab 2025 geplant

Volker

Ost 1
Teammitglied
Registriert
Juni 2001
Beiträge
18.362
  • Gefällt mir
Reaktionen: flo.murr, ComputerJunge, PietVanOwl und 6 andere
Das erste was ich mir gedacht hab war: Toll, alles wird kleiner, aber wie bekomm ich dann die Hitze weg? Ein Punkt den ich dann sogar in den Folien von Intel gefunden habe. Ich frage mich echt was passiert wenn die einen 14900K um 20-30% kleiner machen. Laufen ja jetzt schon auf thermalem Anschlag.
 
Inter-cooling wäre eine Möglichkeit. Also Nano-pipes die die Wärme auf den HS bringen… ob das technisch funktioniert? Keine Ahnung … 😅
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai, Kenshin_01, Bänki0815 und 2 andere
Hier scheint es mal wieder einen richtigen Schritt nach vorne zu gehen abseits von den Strukturbreiten. Freut mich.
 
  • Gefällt mir
Reaktionen: M11E, nyster, flo.murr und 7 andere
R4yd3N schrieb:
Das erste was ich mir gedacht hab war: Toll, alles wird kleiner, aber wie bekomm ich dann die Hitze weg? Ein Punkt den ich dann sogar in den Folien von Intel gefunden habe. Ich frage mich echt was passiert wenn die einen 14900K um 20-30% kleiner machen. Laufen ja jetzt schon auf thermalem Anschlag.

Wenn ich Dich richtig verstehe, fragst Du Dich, ob dann unter den Chips neue Hotspots entstehen.
Ich denke, dass das Detaildesign dieser neuen BSPD Chips dafür Lösungen finden wird.
Es wird vermutlich halt eine klassische Iterationsentwicklung sein. Sie fertigen Prototypen im neuen Design, testen u.a. die Temperaturen und verändern bestimmte Punkte solange bis diese sich halt unkritisch verhalten.
Mein Eindruck aus dem Artikel ist, dass das keinerlei unlösbares Problem ist, wenn sie schon verschiedene
BSPD entwickeln und am Durchtesten sind.
 
  • Gefällt mir
Reaktionen: Alioth und MalWiederIch
Salamimander schrieb:
Inter-cooling wäre eine Möglichkeit
Mit fittingen an der Seite zum Anschluss an die WaKü 😁😁
 
Ich habe gerade einige Fragezeichen auf der Stirn stehen. Wenn man die Stromversorgung eines Einzelchips nach hinten verlagert, sehe ich in der Tat die möglichen Vorteile. Wenn man das aber mit mehreren gestapelten Chips so macht, dann hat man doch am Ende eine Art "Sandwich" aus mehreren Chips, die jeder für sich die Stromversorgung unten realisiert hat. Wo ist da beim Stapeln der große Vorteil?
 
  • Gefällt mir
Reaktionen: GTrash81 und Motorrad
Lese ich das richtig, dass Gate all around (GAA) durchaus eine große Herausforderung darstellt. Bei Samsung nach zwei Jahren noch immer eine geringe Ausbeute. Das bedeutet hohe Kosten ohne zusätzlichen Nutzen. Da bin ich mal gespannt wie da Intel schlägt. Und im Nacken sitzen die IFS Kunden mit denen man jetzt bereits 15Mrd Umsatz vereinbart hat.
Es bleibt spanned wie sich Intel hier schlägt. Ich bleib skeptisch.
 
Backside Delivery hat einige große Vorteile.
Man kann größere Querschnitte zur Versorgung fahren und ist weiter vom Signal entfernt. Das alles führt zu weniger Wärmeentwicklung.
Als Bonus kann man oben auch mehr Logik unterbringen... was wieder zu mehr Wärmeentwicklung führt.

Als Nachteil sehe ich die Wärmeabfuhr. Silizium ist ein schlechter Wärmeleiter. Irgendwie muss man dann von untern kühlen.
 
  • Gefällt mir
Reaktionen: nyster, eastcoast_pete und Alioth
Holla die Waldfee. Mit dieser Technik sehen wir bald einen Nachfolger der MI300 / Grace x Hopper / was auch immer. Das ganze mit mehr als 200GB HBM4.

Die nächsten Jahre werden wild.
 
  • Gefällt mir
Reaktionen: Alioth
Weyoun schrieb:
Ich habe gerade einige Fragezeichen auf der Stirn stehen. Wenn man die Stromversorgung eines Einzelchips nach hinten verlagert, sehe ich in der Tat die möglichen Vorteile. Wenn man das aber mit mehreren gestapelten Chips so macht, dann hat man doch am Ende eine Art "Sandwich" aus mehreren Chips, die jeder für sich die Stromversorgung unten realisiert hat. Wo ist da beim Stapeln der große Vorteil?
Wenn sich je Chip die Packungsdichte der Logik erhöht, kannst du dichter gepackte Chips stapeln.
Wenn die Leiterbahnen auf der Rückseite zudem stärker ausfallen und damit der Widerstand der Leitungen abnimmt, kommt man wahrscheinlich sogar mit etwas weniger Durchkontaktierungen zur Energieversorgung des ganzen Stapels aus. Man könnte die Packungsdichte also weiter steigern.

Gaugaumera schrieb:
Als Nachteil sehe ich die Wärmeabfuhr. Silizium ist ein schlechter Wärmeleiter. Irgendwie muss man dann von untern kühlen.
Das kommt etwas darauf an, wie das Ganze umgesetzt wird. Der Grundwafer muss so oder so extrem ausgedünnt werden, damit Power Vias zur Logik kommen können. Daher nach Erstellen der Power-Seite oder der Logik-Seite muss der ausgedünnte Wafer auf einen anderen Wafer "aufgeklebt" werden. Jenachdem von welcher Seite die Verstärkung erfolgt, muss die Wärme entweder durch die "dicke" Verstärkung, oder nur durch durch sehr Dünne Passivierung des aktiven Teils dieses Sandwichs.
 
  • Gefällt mir
Reaktionen: Alpha.Male, eastcoast_pete und Alioth
Salamimander schrieb:
… ob das technisch funktioniert? Keine Ahnung
Tut es. Aber das muss man auch bezahlen wollen ;) wasser nutzt man dort jedenfalls nicht mehr und mal eben Reinpressen ist auch nicht. Das würde dann eher die Wärme in den IHS obendrauf umverteilen sodass sie abgeführt werden kann. Damit ist der Kreislauf für die piezopumpen und flüssige eutektische Legierungen gewährleistet

R4yd3N schrieb:
Ich frage mich echt was passiert wenn die einen 14900K um 20-30% kleiner machen. Laufen ja jetzt schon auf thermalem Anschlag
Der thermale Anschlag ist tatsächlich noch sehr weit weg. Das limit wäre aktuell der Sockel. Den Chip selbst können sie auch viel heißer machen wenn es nötig ist.

Das gehen sie jetzt ja sogar mit keramischen mounting an. Wird TSMC auch entwickeln müssen.

Generell hätte man dann einen teil der Metallisierung oben drauf und einen unten dran. Führt perse erstmal Prozesstechnisch nicht zu mehr Schwierigkeiten. Nur das Handling und der Fluss ist anders (und das Design natürlich)
 
  • Gefällt mir
Reaktionen: Alpha.Male, Alioth, knoxxi und eine weitere Person
Verdammt, ich hatte die ersten Minuten anders rum gedacht, es würde zusammengelegt werden, aber das ists ja schon. Himmel, ich brauch mehr Kaffee :D

Signal und Power zu trennen klingt super, nur die Kühlung wenn die Transistoren von beiden Seiten im Sandwitsch stecken... ja, schauen wir mal. Die ersten Tests werden es zeigen. Viva la WaKü :D
 
Wer sich das Thema sehr gut visuell aufgearbeitet durchlesen und anschauen möchte, sollte sich den Artikel der Financial Times dazu anschauen: https://ig.ft.com/microchips/

Hier ein Auszug:
 

Anhänge

  • Screenshot 2024-02-28 at 11.30.35.png
    Screenshot 2024-02-28 at 11.30.35.png
    996,1 KB · Aufrufe: 170
  • Screenshot 2024-02-28 at 11.37.45.png
    Screenshot 2024-02-28 at 11.37.45.png
    652,5 KB · Aufrufe: 161
  • Gefällt mir
Reaktionen: aid0nex, Alioth, madmax2010 und eine weitere Person
Wo ist hier vorne und wo ist hinten? Die Reihe bei Pin 1 ist vorne?
Ich bin immer davon ausgegangen, dass die Versorgung zwischen den gestapelten Chips liegt und somit auch gleich die Wärme abführt.
 
BSPD wurde nicht entwickelt um Wärmeprobleme zu lösen, sondern die Packungsdichte der Logikbausteine zu erhöhen und unterschiedliche Strukturbedürfnisse mit unterschiedlichen Lithographietechniken (EUV vs 193i) und unterschiedlichen Materialien angehen zu können. Die Leistungsdichte wird weiterhin steigen. Der Nachteil ist auf Herstellungsseite eine erhöhte Komplexität (mehr Lagen). Es gibt bestimmt eine Abschätzung zum Yield, zusätzliche Layer vs. Die-Shrink, der auch diesen Aufwand rechtfertigt.
Keiner hat gesagt, dass die Fortführung von Moore's Law mit wenig Aufwand möglich ist. Diese Weiterentwicklung war von Beginn an bekanntlich nicht die Lithographietechnik ((High-NA)-EUV) allein, sondern Fortschritte im IC-Design (BSPD und Stacking), sowie Materialien.

Der Artikel gibt als einzige neue Information das erwartete Datum 2025 an, was auch eher ein Gerücht bleibt in seiner Darstellung. Dort wo es spannend wäre: Warum Samsungs GAA-Prozess nicht gut funktioniert, oder wie gut er überhaupt ist, wird nicht mal spekuliert. Es wird halt dazu nichts veröffentlicht - nichtmal bei Quartals-Börsenberichten.
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai, Alpha.Male und Alioth
Mal eine Grundlagenfrage von mir zu BacksidePowerDelivery, die ich schon länger hatte, aber bislang nicht gestellt habe:

Wieso kommt das überhaupt erst jetzt? Auf mich wirkt FrontsidePowerDelivery wie die unintuitive und BacksidePowerDelivery wie die intuitive Variante. Was übersehe ich?
 
  • Gefällt mir
Reaktionen: Kitsune-Senpai
Bei Intel sehe ich Vorteile: so kann man die Prozessoren demnächst besser an Starkstrom anschließen.
Die nächste 500W CPU kommt bestimmt! ;-P
 
CDLABSRadonP... schrieb:
Wieso kommt das überhaupt erst jetzt? Auf mich wirkt FrontsidePowerDelivery wie die unintuitive und BacksidePowerDelivery wie die intuitive Variante. Was übersehe ich?
Zunächst einmal, weil man es nicht brauchte. Als die Strukturen noch vergleichsweise "riesig" waren, war es kein Problem über sie auch die Stromversorgung zu führen. Zum anderen, weil es ein sehr aufwändiger Prozess ist, zu dem man nun greifen muss, um überhaupt noch die Strukturen kleiner zu bekommen.
Making PowerVia-enabled chips requires several extra steps and leads to the unusual result that there is hardly any silicon left in the chip. Things start out pretty normal: The transistors, which in this case are FinFETs made using the Intel 4 process, are constructed at the surface of the silicon, as usual. The main difference is that a group of deep, narrow holes are also drilled and then filled in with metal. These nano-TSVs (for through-silicon vias) will be important later. From there, layers of interconnect are formed above the transistors to link them together into logic cells and larger circuits.
Then the process takes a turn. A blank silicon wafer, called a carrier wafer, is bonded to the top of those interconnects and the whole thing is flipped over. Then the bottom of the original wafer (now on top) is polished away until the ends of the nano-TSVs are exposed. At that point, layers of comparatively chunky interconnects are built up to connect to the nano-TSVs and form the backside power delivery network. These interconnect layers terminate in the bond pads that will link the chip to the package and the rest of the computer.
https://spectrum.ieee.org/backside-power-delivery

Du darfst an dieser Stelle nicht vergessen, dass selbst FinFET gerade einmal vor etwas mehr als 10 Jahren von Intel eingeführt wurde. Diese vielen Schichten und "3D" Transistoren sind jünger als die meisten hier im Forum.
 
Zuletzt bearbeitet:
  • Gefällt mir
Reaktionen: ComputerJunge, eastcoast_pete, Sherman789 und eine weitere Person
Zurück
Oben