News Zen-5-Prozessor: Samples von AMD Eypc 9005 „Turin“ im Umlauf

guggi4 schrieb:
Die Frage ist, ob der Zeitplan jemals realistisch und nicht einfach Hybris von Intel war. Mit Intel 3 bereits in 2018 wäre man TSMC ganze 5 Jahre zuvorgekommen, eine halbe Ewigkeit im Halbleiterbusiness. Ich denke die anderen Halbleiterfertiger haben die Verlangsamung des Fortschrittes einfach realistischer eingeschätzt, vielleicht wäre Intel noch 1-2 Jahre voraus (wie man es ja auch prä 10nm wär) wenn man sich nicht derart übernommen hätte, aber niemals 5 Jahre
hmm kann gut sein, umgekehrt war die Grundlagenforschung sonst immer "on point", ich denke die Technologie war da, aber die Fertigung nicht.
Ähnlich wie halt bei Meteor Lake 2021: Produkt war "Production Ready", aber "Production not Ready".
 
Es zählt aber nie was man in Labor hinzaubert, es zählt alleine was man mit vernünftigen Fehlerraten fertigen kann.

Und falls die Vorentwicklung sich auf das falsche Design der Transistoren festlegt, dann war sie eben nicht fertig. Sie hat es eben nur geglaubt.
 
ETI1120 schrieb:
Es zählt aber nie was man in Labor hinzaubert, es zählt alleine was man mit vernünftigen Fehlerraten fertigen kann.
das ist mir schon klar.
ETI1120 schrieb:
Und falls die Vorentwicklung sich auf das falsche Design der Transistoren festlegt, dann war sie eben nicht fertig. Sie hat es eben nur geglaubt.
bzw war die Produktion einfach noch nicht so weit das ganze Fehlerfrei herzustellen. Ob man sich aufs "falsche" Design festlegt, oder auf ein Design festlegt, dass eben noch nicht so performt wie predicted /also falsch performen, ist dann nur noch eine Sache des Betrachtungswinkels.
Sind wir mal gespannt wie es mit 20A, 18A ... weitergeht.
 
Womit wir wieder an dem Punkt ankommen, dass Intel "früher" Architektur und Prozess strikt aneinander gekoppelt hat und die Architektur vom Prozess abhängig war. Eigentlich hieß es ja, dass Intel davon weggehen will und die Architekturen weniger abhängig von den Prozessen haben möchte (nachdem das bei Ice Lake/Rocket Lake wohl ein ziemlich großer Aufwand war) - ein "Design konnte nicht gefertigt werden" dürfte es demnach bei Intel jetzt schon nicht mehr geben.

Wenn Meteor Lake also aufgrund des Prozesses nicht gefertigt werden konnte, hätte Intel dieses interne Ziel verfehlt.

Wenn es nur aufgrund des Prozesses besser wäre (oder überhaupt mithalten kann) als Raptor Lake, wäre das definitiv eine miserable Architektur (aber das würde erklären, wieso mit der Produktion von Meteor Lake praktisch abgewartet werden musste).

Wenn sowohl Prozess als auch Design besser sein sollten, dann muss man sich fragen, was Intel sonst noch vermurkst hat, um unterm Strich so wenig Fortschritt zu Raptor Lake erzielen zu können. Da bleibt dann eigentlich nur noch das Packaging bzw die Aufteilung auf Chiplets als mögliche Erklärung (und eine Verzögerung dabei wäre auch ein valider Grund, warum Meteor Lake so spät kommt, unabhängig von der Lithografie).

So oder so kann man glaube ich festhalten: Intel wird sich sicherlich höhere Ziele gesteckt haben, als das was wir jetzt als Resultat sehen. Dementsprechend wächst der Druck für Arrow Lake.

EDIT: Mir fällt gerade auf, dass Meteor Lake hier eigentlich Off-Topic ist. Etwas mehr on Topic: Ich finde es interessant, dass Intel bei Emerald Rapids (als Turin Konkurrent zumindest etwas dichter dran) wieder die Anzahl der Chiplets verringert hat. Das interpretiere ich als Anzeichen dafür, dass meine letzte Theorie bezüglich Meteor Lake auch eine Rolle spielt und das Advanced Packaging nicht so gut funktioniert wie Intel es gerne hätte. Ob das stimmt und wie schnell sie das in den Griff kriegen, spielt natürlich mittelfristig auch für AMDs Marktposition mit Turin eine Rolle.
 
stefan92x schrieb:
Ich finde es interessant, dass Intel bei Emerald Rapids (als Turin Konkurrent zumindest etwas dichter dran) wieder die Anzahl der Chiplets verringert hat. Das interpretiere ich als Anzeichen dafür, dass meine letzte Theorie bezüglich Meteor Lake auch eine Rolle spielt und das Advanced Packaging nicht so gut funktioniert wie Intel es gerne hätte.
Bei Lunar Lake dasselbe, Arrow Lake ist halt leider noch Meteor Lake mit ausgetauscht CPU Tile, aber mir Lunar Lake wandert ja wieder alles auf einen Die, bis auf zusätzliche IO. Muss aber nichts schlechtes heißen für Intels Advanced Packaging.

Monolith ist immer besser als Chiplets. Ein 7950X würde als Monolith auch nochmal besser performen als Ohnehin schon. Nur bei den Server-CPUs geht es nicht mehr, sonst hätte man nur noch 800mm^2 riesen Dies. AMD nutzt halt bei den CCDs one for all, dadurch sind die Consumer Desktop Chips auch Chiplets.

Wenn man es aber wie Intel macht und die CPU Tiles der Server-CPUs sowieso nichts mit den Desktop-CPUs zu tun haben, kann man auch direkt das machen, was am besten für die CPU ist. Meteor Lake ist übertrieben, genauso wie Sapphire Rapids. Auch Rialto Bridge, der eingestellte Nachfolger von Ponte Vecchio sollte die Anzahl der Chiplets deutlich reduzieren.

Jedes Chiplet mehr bedeutet schlechtere Effizienz und erhöhten Aufwand für Datenaustausch zwischen denselben. Schau dir Emerald Rapids an: Auf leicht weniger Die Fläche als Sapphire Rapids zumindest 4 Kerne mehr und 200MB mehr L3. Dazu bei selbem Verbrauch 20+% schneller. Alles nur weil, nur noch 2 Dies über 3 Interconnects kommunizieren und nicht 4 Dies über 11(?) Interconnects.

RDNA3 hat das Selbe Problem, die Effizienz ist einfach nicht gut. N33 schafft fast dieselbe Effizienzverbesserung wie die großen Brüder, obwohl er auf N6 bleibt.
 
BAR86 schrieb:
bzw war die Produktion einfach noch nicht so weit das ganze Fehlerfrei herzustellen. Ob man sich aufs "falsche" Design festlegt, oder auf ein Design festlegt, dass eben noch nicht so performt wie predicted /also falsch performen, ist dann nur noch eine Sache des Betrachtungswinkels.
Transistor- und Fertigungstechnologie müssen aufeinander abgestimmt werden. Das ist ein langwieriger Optimierungsprozess.

Vor allem wenn es bei der Transistortechnologie tiefgreifende Änderungen gibt. Intel, Samsung und TSMC haben bereits CFET "demonstriert", d. h. sie haben schon funktionsfähige CFET hergestellt. Aber bis die CFET tatsächlich in Schaltungen verwendet werden, dauert es noch einige Jahre. Und in dieser Zeit wird daran gearbeitet die CFET mit guten Eigenschaften und geringen Fehlerquoten herzustellen.

Deswegen ist Deine originale Aussage die Grundlagenforschung wäre on point gewesen witzlos. Erstens wissen wir es nicht, zweitens ist sie überspitzt formuliert wertlos, wenn sie nicht umsetzbar ist.

stefan92x schrieb:
Womit wir wieder an dem Punkt ankommen, dass Intel "früher" Architektur und Prozess strikt aneinander gekoppelt hat und die Architektur vom Prozess abhängig war.
Zwischen der Architektur und dem Prozess steht das Chipdesign. Im Chipdesign wird festgelegt wie der RTL-Code, der den Chip beschreibt, für diesen Prozess umgesetzt wird. Oder anders formuliert die Transistoren werden platziert und verdrahtet. Dann wird das ganze simuliert und optimiert.
Für einen andere Node muss das Chipdesign neu gemacht werden, da vollkommen andere Transistoren werden wendet werden und auch für die Verbindungen andere Parameter gelten. Es können auch Prozessvarianten innerhalb eines Nodes inkompatibel sein.

stefan92x schrieb:
Eigentlich hieß es ja, dass Intel davon weggehen will und die Architekturen weniger abhängig von den Prozessen haben möchte (nachdem das bei Ice Lake/Rocket Lake wohl ein ziemlich großer Aufwand war) - ein "Design konnte nicht gefertigt werden" dürfte es demnach bei Intel jetzt schon nicht mehr geben.
Das Problem war dass das Chipdesign für Intel 10 nm gemacht wurde. Für Intel 14 nm musste das Chipdesign komplett neu gemacht werden. Es gibt keinen anderen Weg.

Üblicherweise macht mein Redesign auf einem kleinerem Node um von dessen besseren Eigenschaften zu profitieren. Dies war aber ein umgekehrter Shrink, deshalb wurde der Chip schlechter als ursprünglich geplant.

Lisa Su sagte zu Zen 2, dass AMD vor Jahren eine Wette auf TSMC 7 nm abgeschlossen hat, und diese aufgegangen ist. Auch beim festlegen der Architektur kann man den geplanten Prozess nicht außer acht lassen. Wie will man PPA optimieren, wenn man die Charakteristik des Nodes nicht kennt?

stefan92x schrieb:
Wenn Meteor Lake also aufgrund des Prozesses nicht gefertigt werden konnte, hätte Intel dieses interne Ziel verfehlt.
Wo soll da das Risiko gewesen sein? Bei diesem winzigen CPU Chiplet? Das bringt auch auf einem schlechten Prozess eine anständige Ausbeute.

Es gab ständig Gerüchte, dass Intel zweigleisig fahren würde, also das CPU-Chipdesign sowohl für Intel- als auch für TSMC-Prozesse umsetzt. Aber das kann auch ein Mißverständnis und nicht für Meteor Leak gegolten haben. Intel vergibt wohl ein mehr Chips an TSMC, als Intel offiziell angekündigt hat.

stefan92x schrieb:
Wenn es nur aufgrund des Prozesses besser wäre (oder überhaupt mithalten kann) als Raptor Lake, wäre das definitiv eine miserable Architektur (aber das würde erklären, wieso mit der Produktion von Meteor Lake praktisch abgewartet werden musste).
Es gibt das schon ein bisschen mehr zu bedenken.

Die Performance in Games ist nun nichts was insgesamt wirklich relevant ist. Alder Lake/Raptor Lake ist IMO viel zu einseitig auf Performance ausgelegt. Davon muss Intel weg, sonst haben sie in den wichtigen Märkten auf Dauer kein Chance.

Das betrifft vor allem Notebooks (der größte Teil der Clients) und Server. Aber auch Spezialmärkte wie Embedded.

stefan92x schrieb:
Wenn sowohl Prozess als auch Design besser sein sollten, dann muss man sich fragen, was Intel sonst noch vermurkst hat, um unterm Strich so wenig Fortschritt zu Raptor Lake erzielen zu können.
Was mich bei den Werten von Meteor Lake nachdenklich stimmt ist der hohe Verbrauch bei CPU-Benchmarks. Hier sind viele Ursachen möglich.

Da werden wir noch weitere Daten bekommen.
stefan92x schrieb:
Da bleibt dann eigentlich nur noch das Packaging bzw die Aufteilung auf Chiplets als mögliche Erklärung (und eine Verzögerung dabei wäre auch ein valider Grund, warum Meteor Lake so spät kommt, unabhängig von der Lithografie).
Das Problem ist, wir wissen es nichts. Der Prozess sollte es eigentlich bei diesem Winzling nicht sein. Alledings braucht man auch Maschinen um, die Fertigung machen zu können. Es kann beim bring up Probleme gegeben haben. Und natürlich ist das Packaging bei Intel ein guter Kandidat, wenn's um Probleme geht.

stefan92x schrieb:
So oder so kann man glaube ich festhalten: Intel wird sich sicherlich höhere Ziele gesteckt haben, als das was wir jetzt als Resultat sehen. Dementsprechend wächst der Druck für Arrow Lake.

EDIT: Mir fällt gerade auf, dass Meteor Lake hier eigentlich Off-Topic ist.
Nur indirekt, da alles was Meteor Lake zustößt, auch die Konkurrenz-Produkte zu Turin betrifft.

stefan92x schrieb:
Etwas mehr on Topic: Ich finde es interessant, dass Intel bei Emerald Rapids (als Turin Konkurrent zumindest etwas dichter dran) wieder die Anzahl der Chiplets verringert hat.
Sapphire Rapids und Ponte Vecchio sind IMO viel zu komplex.

Es ist schlicht in einfach vermessen gleich mit solchen Designs einzusteigen.

stefan92x schrieb:
Das interpretiere ich als Anzeichen dafür, dass meine letzte Theorie bezüglich Meteor Lake auch eine Rolle spielt und das Advanced Packaging nicht so gut funktioniert wie Intel es gerne hätte.
Ich halte auch Meteor Lake für viel zu komplex. Aber nicht so grotesk komplex wie Sapphire Rapids und Ponte Vecchio.

Intel hängt IMO im Advanced Packaging mindestens so weit zurück wie in den Halbleiterprozessen.

Im übrigen fand ich es sehr interessant, dass Intel angekündigt hat es mit Glas-Interposer zu versuchen. Denn das würde eine große Lücke im Angebot an Advanced Packaging Techniken von Intel schließen. Nur EMIB oder Foveros ist ein bisschen wenig.

stefan92x schrieb:
Ob das stimmt und wie schnell sie das in den Griff kriegen, spielt natürlich mittelfristig auch für AMDs Marktposition mit Turin eine Rolle.
Pat Gelsinger hat keinen leichten Job, da er Intel in einem schlechten Zustand übernommen hat.

Aber er muss so langsam liefern. Ende Januar ist er 3 Jahre im Amt. Es ist peinlich, wenn er nach so langer Zeit im Amt herumläuft und über schlechte Entscheidungen in der Vergangenheit lamentiert. Oder herum heult dass ein Mitwettbewerber (Nvidia) bloß Glück gehabt hätte.

Philste schrieb:
Bei Lunar Lake dasselbe, Arrow Lake ist halt leider noch Meteor Lake mit ausgetauscht CPU Tile, aber mir Lunar Lake wandert ja wieder alles auf einen Die, bis auf zusätzliche IO. Muss aber nichts schlechtes heißen für Intels Advanced Packaging.
Intels Advanced Packaging hängt hinter her. Das kann man alleine schon den Präsentationen von Intel entnehmen. Die Anzahl der Bridges ist beeindruckend. Der Pitch mit dem Intel arbeitet ist es nicht.

Philste schrieb:
Monolith ist immer besser als Chiplets.
So pauschal ist das falsch. Der 3D V-Cache funktioniert, nur weil er per Chiplet umgesetzt wird.

Grundsätzlich gilt, wenn man für Inter-Chiplet-Verbindungen IO-Schaltkreise einfügen muss kostet das Latenz, Fläche und Energie. Es ist aber auch eine Frage der Technik, ob man überhaupt zusätzliche IO-Schaltkreise benötigt. Beim 3D V-Cache werden sie nicht gebraucht. Bei Ryzen/EPYC sind sie notwendig. Bei RDNA 3 benötigen sie weniger Fläche und Energie als bei Ryzen/EPYC.

Philste schrieb:
Ein 7950X würde als Monolith auch nochmal besser performen als Ohnehin schon.
Das ist eine sehr theoretische Überlegung.
1703193414980.png

Sam Naffziger auf der ISSCC 2020

Außerdem sollte man beachten, dass AMD bei Ryzen/EPYC bisher eine sehr einfache Verbindungstechnik verwendet.
pasted_image023.png

Die X-Achse ist hier das interessante.


pasted_image025.png

Sam Naffziger auf der DAC 2021

Ich denke EPYC ist auf den Folien zu erkennen, Meteor Lake und Sapphire Rapids sind in der Mitte.
3D V-cache und die MI300 (IOD zu XCD/CCD) sind rechts.


Philste schrieb:
Nur bei den Server-CPUs geht es nicht mehr, sonst hätte man nur noch 800mm^2 riesen Dies.
AMD ist seit Zen 2 über dem Recticle Limit.
Philste schrieb:
AMD nutzt halt bei den CCDs one for all, dadurch sind die Consumer Desktop Chips auch Chiplets.
Ich würde es anders formulieren. AMD hat eine Vorstellung davon, wie man aus einem Baukasten von wenigen Chiplets eine riesiges Produktportfolie zaubert. AMD arbeitet seit 10 Jahren kontinuierlich daran.

Aber das geht eben nur, wenn man als Firma eine klare Vorstellung davon hat wo man hin will. Und kontinuierlich in diese Richtung arbeitet. Vision und Execution müssen stimmen.

Bei Intel würde ich sagen, sind die Chiplet-Designs als Work ARounds für akute Probleme entstanden.
Philste schrieb:
Jedes Chiplet mehr bedeutet schlechtere Effizienz und erhöhten Aufwand für Datenaustausch zwischen denselben.
Solches pauschalisieren hilft nicht weiter.

Es kommt auch auf die Technik an, über die man die Daten leitet. Und wie das Systemdesign aussieht.

Sapphire Rapids hat weniger Chiplets als EPYC und verwendet mit EMIB eine hochwertigere Verbindungstechnik als der EPYC. Bei EPYC wird der ganze Datenverkehr über ein Substrat geführt .

Beim Vergleich von Sapphire Rapids und Emerald Rapids darf man nicht vergessen, dass Emerald Rapids ein grundlegend neues Chipdesign bekommen hat. Auch das kann sich auswirken. So dass das Reduzieren der Anzahl der Chiplets nicht der alleinige Grund für die Verbesserung sein muss.

Philste schrieb:
RDNA3 hat das Selbe Problem, die Effizienz ist einfach nicht gut. N33 schafft fast dieselbe Effizienzverbesserung wie die großen Brüder, obwohl er auf N6 bleibt.
Ich denke RDNA 3 ist ein sehr schlechtes Beispiel.

Irgend etwas hat AMD verbockt. Da alle Designs unter performen kann es nicht der Chipletansatz der Navi 31 und 32 sein. Navi 31 geht an die Grenze was mit zwei Steckern möglich ist. Das interpretiere ich so dass AMD ursprünglich mit weniger Verbrauch geplant hat und schlussendlich die Brechstange ausgepackt hat.

Andererseits kann es weil alle Designs betroffen sind auch kein Fehler im Chipdesign sein.

RDNA 3 verwendet Fanout. Senden von Informationen über Fanout benötigt erheblich weniger Energie je Bit als das Senden über ein Substrat.

pasted_image015.png

AMD RDNA 3 deep dive

Bei der Angabe von 5 % bitte beachten, dass das Bewegen der Daten on-chip auch nicht ohne Energieverbrauch geht.
 
ETI1120 schrieb:
Transistor- und Fertigungstechnologie müssen aufeinander abgestimmt werden. Das ist ein langwieriger Optimierungsprozess.

Vor allem wenn es bei der Transistortechnologie tiefgreifende Änderungen gibt. Intel, Samsung und TSMC haben bereits CFET "demonstriert", d. h. sie haben schon funktionsfähige CFET hergestellt. Aber bis die CFET tatsächlich in Schaltungen verwendet werden, dauert es noch einige Jahre. Und in dieser Zeit wird daran gearbeitet die CFET mit guten Eigenschaften und geringen Fehlerquoten herzustellen.

Deswegen ist Deine originale Aussage die Grundlagenforschung wäre on point gewesen witzlos. Erstens wissen wir es nicht, zweitens ist sie überspitzt formuliert wertlos, wenn sie nicht umsetzbar ist.
Intels Grundlagenforschung war bis zu diesem Zeitpunkt so "on Point", dass man nach deren Prozessreleases bis zu einem gewissen Punkt eben die Uhr stellen konnte. Also auch gerne mal einige (5+) Jahre vorher.
Dass man plötzlich so außer tritt kommt, war für mich 2014 zumindest noch nicht klar, wo man bereits 14nm Chips hatte, aber die Produktion offenbar noch nicht bereit war
Oder 2015, wo auch schon "fertige" 10nm Chips getestet wurden, aber eben die Yieldprobleme noch nicht klar waren (auch Intel selbst glaubte wohl immer wieder sie bald in den Griff zu bekommen. Also bis vor knapp 10 Jahren dachte ich schon, dass das was man in den Laboren hinkriegt und die Zeitpläne die man ausgibt halbwegs haltbar sind. Irgendwo hat man sich halt "verschluckt", vielleicht an mehreren Stellen, aber sicher mal allen voran mit der Idee auf EUV vorerst verzichten zu können.
Ergänzung ()

ETI1120 schrieb:
Die Performance in Games ist nun nichts was insgesamt wirklich relevant ist. Alder Lake/Raptor Lake ist IMO viel zu einseitig auf Performance ausgelegt. Davon muss Intel weg, sonst haben sie in den wichtigen Märkten auf Dauer kein Chance.
Intel bräuchte hier wohl einfach auch mehrere Architekturen. Effiziente, Performante etc. Mit dem "eine Architektur für alles" Ansatz kommt man eben nicht weiter. Hybrid ist ein netter Versuch. Aber hat halt auch seine Nachteile.
ETI1120 schrieb:
Was mich bei den Werten von Meteor Lake nachdenklich stimmt ist der hohe Verbrauch bei CPU-Benchmarks. Hier sind viele Ursachen möglich.
Ja, naheliegend ist etwa, dass der Prozess noch nicht so performt wie geplant (etwa auch in den ersten 10nm Gehversuchen), oder man liegt deutlich über dem Sweet-Spot, weil man ja nicht unterhalb der Performance der Vorgeneration rauskommen will. Inwiefern das Packaging eine Rolle spielt, weiß ich leider nicht, da bin ich nicht tief genug in der Materie drin, ich dachte da ist Intel recht gut aufgestellt.

ETI1120 schrieb:
Intel hängt IMO im Advanced Packaging mindestens so weit zurück wie in den Halbleiterprozessen.
Intels Advanced Packaging hängt hinter her. Das kann man alleine schon den Präsentationen von Intel entnehmen. Die Anzahl der Bridges ist beeindruckend. Der Pitch mit dem Intel arbeitet ist es nicht.
das wusste ich zum Beispiel nicht. Wie groß ist hier der Impact?
ETI1120 schrieb:
So pauschal ist das falsch. Der 3D V-Cache funktioniert, nur weil er per Chiplet umgesetzt wird.

Grundsätzlich gilt, wenn man für Inter-Chiplet-Verbindungen IO-Schaltkreise einfügen muss kostet das Latenz, Fläche und Energie. Es ist aber auch eine Frage der Technik, ob man überhaupt zusätzliche IO-Schaltkreise benötigt. Beim 3D V-Cache werden sie nicht gebraucht. Bei Ryzen/EPYC sind sie notwendig. Bei RDNA 3 benötigen sie weniger Fläche und Energie als bei Ryzen/EPYC.


Das ist eine sehr theoretische Überlegung.
Anhang anzeigen 1434536
Aber er wäre wohl in gewissen Szenarien (leerlauf, Niedriglast) effizienter (nehme ich jetzt mal an).
Ergänzung ()

ETI1120 schrieb:
Beim Vergleich von Sapphire Rapids und Emerald Rapids darf man nicht vergessen, dass Emerald Rapids ein grundlegend neues Chipdesign bekommen hat. Auch das kann sich auswirken. So dass das Reduzieren der Anzahl der Chiplets nicht der alleinige Grund für die Verbesserung sein muss.
Wie du es oben schon formuliert hast finde ich spannend wie AMD hier seit knapp 10 Jahren einen Plan verfolgt oder aus einer Not eine Tugend macht, und Intel hier eher reagiert um auch Nachteile zu Kaschieren.
Sapphire Rapids hatte neben Performance ja auch noch ganz andere Probleme (etwa im CB Test). Und natürlich die Effizienz. Beides wird wohl eine Spur besser mit Emerald Rapids. ER ist grade erst gelaunched, aber so richtig interessant finde ich dann nächstes Jahr (wenn es so kommt) den Unterschied zwischen Intel 7 und Intel 3.
 
Zuletzt bearbeitet:
BAR86 schrieb:
Intels Grundlagenforschung war bis zu diesem Zeitpunkt so "on Point", dass man nach deren Prozessreleases bis zu einem gewissen Punkt eben die Uhr stellen konnte. Also auch gerne mal einige (5+) Jahre vorher.
Dass man plötzlich so außer tritt kommt, war für mich 2014 zumindest noch nicht klar, wo man bereits 14nm Chips hatte, aber die Produktion offenbar noch nicht bereit war
Ja bei Intel hat es sehr lange gut geklappt. Sogar sehr gut wenn man die Konkurrenz betrachtet. Die ist eher heftiger als Intel gestrauchelt.

Intels 14 nm war Rückblickend der Höhepunkt.

Aber es ist generell so

TSMC hat 7 nm auch ohne EUV gemacht und erst nachträglich Prozessvarianten mit EUV eingeführt.
BAR86 schrieb:
Oder 2015, wo auch schon "fertige" 10nm Chips getestet wurden, aber eben die Yieldprobleme noch nicht klar waren (auch Intel selbst glaubte wohl immer wieder sie bald in den Griff zu bekommen.
Wie Intel mit diesem Fiasko umgegangen ist, ist noch einmal eine andere Geschichte.

AFAIK gab es 4 Generationen mit 10 nm. Die 4. mit der geringsten Dichte wurde zu Intel 7 umbenannt.
BAR86 schrieb:
Also bis vor knapp 10 Jahren dachte ich schon, dass das was man in den Laboren hinkriegt und die Zeitpläne die man ausgibt halbwegs haltbar sind.
Ich bitte Dich, die Liste der Dinge, die im Labor super geklappt haben aber an der Umsetzung in der realen Welt gescheitert sind ist schier endlos. Ich es kann sogar passieren das eine Versuchsanlage funktioniert aber eine Großanlage versagt.

Es gab bei 40 nm auch Mal bei TSMC ein Desaster. Damals hat TSMC den CEO rausgeschmissen Morris Chang zurückgeholt und alles getan, um das Problem zu lösen.

Intel hat zuerst nur Nebelkerzen gezündet. Sogar AFAIR sogar unreife Produkte gelauncht.
BAR86 schrieb:
Irgendwo hat man sich halt "verschluckt", vielleicht an mehreren Stellen, aber sicher mal allen voran mit der Idee auf EUV vorerst verzichten zu können.
EUV wegzulassen war nicht das Problem.

TSMC hat es zuerst auch ohne EUV gemacht.

Das Desaster bei Samsung fängt glaube ich mit EUV an. Es gibt einen Typ der seit über einem Jahr auf Twitter praktisch nur Post raushaut über Probleme und Schwierigkeiten mit EUV.

Wie es insgesamt mit High-NA EUV klappt werden wir sehen.
BAR86 schrieb:
Intel bräuchte hier wohl einfach auch mehrere Architekturen. Effiziente, Performante etc. Mit dem "eine Architektur für alles" Ansatz kommt man eben nicht weiter. Hybrid ist ein netter Versuch. Aber hat halt auch seine Nachteile.
Es ist schlechthin das Problem bei X86, dass es zu wenige Designs gibt.

Eigentlich hat ja Intel 2 Architekturen. AMD nur eine.
BAR86 schrieb:
das wusste ich zum Beispiel nicht. Wie groß ist hier der Impact?
Intel hat AFAIK bis heute kein Produkt mit Foveros Omni. TSMC hat TSMC SoIC seit 2022 in Massenproduktion. Von Dichte und elektrischen Eigenschaft ist das ein Game Changer. Mit Hybrid Bonding hat man eine Kupfer-Kupfer Verbindung

Bei Foverors und EMIB kann ich den Unterschied in Performance oder Effizienz nicht beziffern.

Bei der Hotchips 33 in 2021 gab es das Advanced Packaging Tutorial. (Sowohl Foliensätze als Videos sind frei verfügbar)

Hier haben Intel uind TSMC präsentiert. Bei gebauem hinsehen wird klar, dass TSMC beim Pitch erheblich besser ist. Intel versuchte im Vortrag das zu vernebeln in dem sie ein paar Folien aus der Heterogenous Intergration Roadmap eingeschoben haben.

BAR86 schrieb:
Aber er wäre wohl in gewissen Szenarien (leerlauf, Niedriglast) effizienter (nehme ich jetzt mal an).
In der Technik hat alles Pro und Cons. Aber wäre ein optimierter Leerlauf oder optimierte Niedriglast den doppelten Preis wert?

Im übrigen ist es weniger ein Technikproblem, sondern eher eine Frage der Fehlinvestition, wenn man sich einen Highend-Prozessor kauft und in im Leerlauf oder Niedriglast betreibt.

BAR86 schrieb:
Sapphire Rapids hatte neben Performance ja auch noch ganz andere Probleme (etwa im CB Test). Und natürlich die Effizienz. Beides wird wohl eine Spur besser mit Emerald Rapids. ER ist grade erst gelaunched, aber so richtig interessant finde ich dann nächstes Jahr (wenn es so kommt) den Unterschied zwischen Intel 7 und Intel 3.
Ich mache mir was die zukünftigen Produkte von Intel schon lange keine Gedanken mehr.
Man wartet ab, wann Intel liefert und schaut sich an was rauskommt.

Grundsätzlich gilt, wenn Intel tatsächlich die Prozesse weniger auf Performance optimiert, könnten gerade die Server profitieren. Wir werden sehen was tatsächlich raus kommt.

Die Spatzen pfeifen es von den Dächern, dass Intel einiges an Kapazität bei TSMC 3 nm gebucht hat.
Wird das tatsächlich alles für GPUs und GPU-Chiplets sein?

Wird AMD Intel bei TSMC 3 nm den Vortritt lassen? Wie gesagt AMD hat selbst angekündigt 3 nm für Zen 5 zu verwenden. Deshalb bin ich geneigt zu glauben, dass AMD mit den CCDs auf 3 nm geht.

Bei Strix Point bin ich skeptisch, das wäre mit der IO ziemlich teuer. Andererseits ... die Jahresmitte die auch bei Strix Point in der Gerüchten umläuft würde, zu TSMC N3E passen. Hier sollte die HVM so langsam losgehen. Oder schon laufen.
 
ETI1120 schrieb:
Bei Strix Point bin ich skeptisch, das wäre mit der IO ziemlich teuer.
Wäre das wirklich schlimm? Strix Point ist doch sowieso ein reines Premiumprodukt oberhalb von Hawk Point, das muss AMD nicht verramschen.
 
Strix Point ist halt der ganz normale APU Nachfolger, auch Phoenix wurde schon als Premium betitelt. Oder meinst du Strix Halo?
 
@stefan92x Gutes Argument.

Aber was ist dann 4 nm?

Die CCD sind IMO ideal für 3 nm.

Klein, wenig IO, Frequenz und Effizienz sind wichtig.
Ergänzung ()

Philste schrieb:
Strix Point ist halt der ganz normale APU Nachfolger, auch Phoenix wurde schon als Premium betitelt. Oder meinst du Strix Halo?
Aber Phoenix ist auch nach oben gerutscht.Der faengt bei 1000 € an. Das koennte mit Strix Point noch Mal passieren. Z.B Einstieg bei 1500 € anstatt 1000 € wie bei Phoenix.
 
Zuletzt bearbeitet:
Philste schrieb:
Strix Point ist halt der ganz normale APU Nachfolger, auch Phoenix wurde schon als Premium betitelt. Oder meinst du Strix Halo?
Strix Halo ist nochmal ein spezielles Thema. Ich meinte schon Strix Point. Wenn man sich AMDs mobile Produktpalette ansieht, dann ist es ja in den letzten Jahren so, dass Low-End mit Refreshes älterer Generationen bedient wird und die neuen Chips darüber angesiedelt werden (es gibt Ryzen 7000 mit Zen 2, 3 und 4!). Genauso dürfte es mit Ryzen 8000 laufen, ein Zen 3 Refresh als Low End, Hawk Point für den Mainstream und Strix darüber. Genaue Segmentierung wird sicherlich auch vom Preis abhängen, aber Meteor Lake macht jetzt nicht den Eindruck, dass AMD Strix mit Gewalt in den Massenmarkt drücken müsste.
 
  • Gefällt mir
Reaktionen: ETI1120
ETI1120 schrieb:
Aber Phoenix ist auch nach oben gerutscht.Der faengt bei 1000 € an. Das koennte mit Strix Point noch Mal passieren. Z.B Einstieg bei 1500 € anstatt 1000 € wie bei Phoenix.
Damit rechne ich auch. Aber das ist halt die neue Realität bei AMD. In den typischen 600-700€ Geräten, die sich wohl am meisten verkaufen, wird man wohl noch lange hauptsächlich ZEN3 bekommen.

Phoenix nutzt schon fast die volle Dichte von N4, alle Änderungen von Strix Point werfen also voll auf die Die Größe durchschlagen. Gerüchte sprechen von 225mm^2, die größte AMD APU seit Jahren.

Bin sowieso mal auf die Leistung gespannt, die CPU wird wahrscheinlich top, aber ich frage mich ob die 2 CCX in Gaming Laptops mit dGPUs nicht limitieren, da man nur das 4 Kern CCX mit normalen Kernen und das 8 Kern CCX mit c-Kernen hat. Auch die iGPU könnte ohne Infinity Cache trotz 2 WGPs mehr keine Mehrleistung bringen. Pheonix hängt schon komplett im Bandbreitenlimit.
 
ETI1120 schrieb:
Ja bei Intel hat es sehr lange gut geklappt. Sogar sehr gut wenn man die Konkurrenz betrachtet. Die ist eher heftiger als Intel gestrauchelt.

Intels 14 nm war Rückblickend der Höhepunkt.
ja, wobei es davor schon Anzeichen gab, dass nicht alles so rosig ist, wie man es verlautbart hat, die hab ich aber - damals noch leichtgläubig - ignoriert.
Schon mit 14nm ist man ziemlich ins Straucheln gekommen und war mit manchen Produkten (Broadwell für Desktop) deutlich zu spät.
ETI1120 schrieb:
AFAIK gab es 4 Generationen mit 10 nm. Die 4. mit der geringsten Dichte wurde zu Intel 7 umbenannt.
Ja kommt hin. Vielleicht 5, wobei die erste (vor Cannon Lake) dann nie auf den Markt kam.
Cannon Lake 10nm
Ice Lake war 10nm+
Tiger Lake 10++ /Super Fin
und danach gabs halt "Enhanced Super Fin" -10nm+++

ETI1120 schrieb:
Ich bitte Dich, die Liste der Dinge, die im Labor super geklappt haben aber an der Umsetzung in der realen Welt gescheitert sind ist schier endlos. Ich es kann sogar passieren das eine Versuchsanlage funktioniert aber eine Großanlage versagt.
Ich hab mich ungeschickt ausgedrückt, ich bezog mich da stets auf die Prozesse und deren Releaseangkündigungen die ja wohl irgendwelchen Laborergebnissen folgten. Dass etwas nur im Labor gut funktioniert ist mir klar, davon hab ich selbst genug produziert :D

ETI1120 schrieb:
Intel hat zuerst nur Nebelkerzen gezündet. Sogar AFAIR sogar unreife Produkte gelauncht.
Cannon Lake etwa, ja.
ETI1120 schrieb:
Es ist schlechthin das Problem bei X86, dass es zu wenige Designs gibt.

Eigentlich hat ja Intel 2 Architekturen. AMD nur eine.
Was Schade ist, denn 3- 4 wären wohl notwendig, wenn man sich die verschiedenen Märkte ansieht. Ein sehr breit aufgestellter Core für spezielle Lasten (etwa Server?), ein normaler "Performance" Core, Ein E- Core und darunter noch etwas, falls man im Tablet/Smartphone/Smartwatch (oder Embedded) Markt doch mal wieder mitnaschen will
ETI1120 schrieb:
Intel hat AFAIK bis heute kein Produkt mit Foveros Omni. TSMC hat TSMC SoIC seit 2022 in Massenproduktion. Von Dichte und elektrischen Eigenschaft ist das ein Game Changer. Mit Hybrid Bonding hat man eine Kupfer-Kupfer Verbindung
das wusste ich gar nicht, die Ankündigungen von Intel bezüglich EMIB und Foveros klangen ohne Vergleichsprodukte zu kennen immer so weit voraus.
ETI1120 schrieb:
Bei der Hotchips 33 in 2021 gab es das Advanced Packaging Tutorial. (Sowohl Foliensätze als Videos sind frei verfügbar)

Hier haben Intel uind TSMC präsentiert. Bei gebauem hinsehen wird klar, dass TSMC beim Pitch erheblich besser ist. Intel versuchte im Vortrag das zu vernebeln in dem sie ein paar Folien aus der Heterogenous Intergration Roadmap eingeschoben haben.
ok danke, werde ich über die Feiertage mal durchsehen!
ETI1120 schrieb:
In der Technik hat alles Pro und Cons. Aber wäre ein optimierter Leerlauf oder optimierte Niedriglast den doppelten Preis wert?
kann ich dir pauschal nicht sagen wieviel es wert wäre, aber ja Effizienz in Szenarien außerhalb von Race To Idle ist sicher für Mobilität wichtig, manche würden da wohl deutlich mehr bezahlen.
ETI1120 schrieb:
Im übrigen ist es weniger ein Technikproblem, sondern eher eine Frage der Fehlinvestition, wenn man sich einen Highend-Prozessor kauft und in im Leerlauf oder Niedriglast betreibt.
da muss ich jetzt eher wiedersprechen. In meinem Fall sitze ich viel am PC und mache "Office" (in verschiedenster Form, wie das halt bei der Forschung so ist), hab auch stets die Android VR oder ähnliches laufen, dabei braucht das Ding keine 60W. Ich spiele aber auch auf diesem PC und mach auch regelmäßig was mit Video-Editing. Ich habe also im Arbeitsfall ein sparsames System, im Falle des Bedarfs ein Leistungsfähiges.
Dann wär ja jeder der sein Macbook M1/2/3 kauft und nicht ständig auf vollast betreibt auch falsch. Es spricht nichts gegen effiziente Komponenten
ETI1120 schrieb:
Die Spatzen pfeifen es von den Dächern, dass Intel einiges an Kapazität bei TSMC 3 nm gebucht hat.
Wird das tatsächlich alles für GPUs und GPU-Chiplets sein?
bevor man die eigene Fertigung nicht wieder voll im Griff hat macht das durchaus sinn.
Vielleicht ist es irgendwann mal so, dass man die eigenen Produkte die performancekritisch sind immer zu ( dann vielleicht besseren) TSMC gibt und die weniger kritischen selbst fertigt bzw. auch als Fremdfertiger sich ein wenig Geld dazuverdient
ETI1120 schrieb:
Wird AMD Intel bei TSMC 3 nm den Vortritt lassen? Wie gesagt AMD hat selbst angekündigt 3 nm für Zen 5 zu verwenden. Deshalb bin ich geneigt zu glauben, dass AMD mit den CCDs auf 3 nm geht.
Ich meine irgendwo mal eine Folie gesehen zu haben da stand, dass man zuerst bei N4 bleibt und dann 1 Jahr später auf 3nm geht. Aber ja, je nach kapazitäten bei TSMC sind das interessante Entscheidungen für/bei TSMC


Mal sehen auch, ob man mit der anfänglichen Führung bei HighNA ( https://www.computerbase.de/2023-12...rstes-high-na-lithografiesystem-an-intel-aus/ )was machen kann. Da es zu beginn ja nur wenige Maschinen sind und die Kapazitäten nicht überragend sein werden, wird sich das (leider) nur für wenige Produktlinien ausgehen. Im unrealistischen Wunschdenken würden wir bald auch Geforce-Konkurrenten in einem überlegenen Prozess sehen
 
Zuletzt bearbeitet:
BAR86 schrieb:
ja, wobei es davor schon Anzeichen gab, dass nicht alles so rosig ist, wie man es verlautbart hat, die hab ich aber - damals noch leichtgläubig - ignoriert.
Schon mit 14nm ist man ziemlich ins Straucheln gekommen und war mit manchen Produkten (Broadwell für Desktop) deutlich zu spät.

Und genau deshalb verstehe ich nicht warum Intel bei 10 nm einen so großen Sprung hinlegen wollte.
BAR86 schrieb:
Ich hab mich ungeschickt ausgedrückt, ich bezog mich da stets auf die Prozesse und deren Releaseangkündigungen die ja wohl irgendwelchen Laborergebnissen folgten. Dass etwas nur im Labor gut funktioniert ist mir klar, davon hab ich selbst genug produziert :D
Dann weißt Du auch, dass etwas was leicht aussieht nicht unbedingt leicht sein muss.

Es gab immer Probleme und Herausforderungen zu meistern. Bis 10 nm haben aber die anderen erheblich mehr Probleme gehabt.
BAR86 schrieb:
Was Schade ist, denn 3- 4 wären wohl notwendig, wenn man sich die verschiedenen Märkte ansieht. Ein sehr breit aufgestellter Core für spezielle Lasten (etwa Server?), ein normaler "Performance" Core, Ein E- Core und darunter noch etwas, falls man im Tablet/Smartphone/Smartwatch (oder Embedded) Markt doch mal wieder mitnaschen will
Der Punkt ist, dass AMD und Intel sich gegenseitig brauchen, wenn sie auf Dauer die X86 Plattform halten wollen. Und so müssen Mal schauen wieder neue Märkte zu besetzen.
BAR86 schrieb:
das wusste ich gar nicht, die Ankündigungen von Intel bezüglich EMIB und Foveros klangen ohne Vergleichsprodukte zu kennen immer so weit voraus.
Die ganzen Websites die über solche Dinge berichten haben eine PC-zentrierte Sicht.

Intel hat immer viel erzählt aber was die Stückzahlen angeht IMO nur relativ wenig ausgeliefert.
BAR86 schrieb:
kann ich dir pauschal nicht sagen wieviel es wert wäre, aber ja Effizienz in Szenarien außerhalb von Race To Idle ist sicher für Mobilität wichtig, manche würden da wohl deutlich mehr bezahlen.
Aber diese Prozessoren sind eigentlich nicht für Mobil vorgesehen.

Als ich darüber nachgedacht habe, ist mir eingefallen, dass die Chiplets bei die Volllast effizient agieren. Deshalb kann es bei der Teilllast kein grundsätzliches Chiplet-Problem sein. Ich denke es ist eher das Problem dass bei niedriger Last die Busse nicht langsamer takten.

Wenn ich recht habe wäre das ein Firmwareproblem oder ein Problem der verwendeten IO.

So gut wie die Prozessoren von AMD sind, gegenüber Intel hat AMD in der Plattform einfach noch Nachholbedarf.

BAR86 schrieb:
da muss ich jetzt eher wiedersprechen.
Wer Technik kauft muss Kompromisse eingehen. Deshalb ist es logisch dass nicht alle dasselbe kaufen wollen.

Aber dass Intel in dieser Beziehung toll ist stelle ich Mal massiv in Zweifel. Bei den Notebooks gab es bei Intel einen massiven Rückschritt was die Akkulaufzeit angeht. Und das ist die Displizin bei der Intel AMD immer weit voraus war.

Die Intel Fanboys waren von Zen 2 massiv geschockt. Für sie ist eine Welt zusammengebrochen.
Und einige sind nun Mal technisch versiert und die haben nach Schwachstellen bei Zen 2 gesucht. Da wurden dann die Latenzen ausgegraben und seit dem kursiert die Geschichte mit dem hohen Leerlaufverbrauch.

Das andere absolut aufgebauschte Thema war der maximale Turbo.

Das grundsätzliche Problem ist aber, dass ein PC immer mehrere Threads am laufen hat und dass die last nie null ist und dass es immer kurze Lastspitzen.

Ich kenne keine kontinuierlichen Messungen bei den üblichen PC-Szenarien. Und wenn dann noch im Hintergrund Applikationen ackern sieht es noch mal aus.


BAR86 schrieb:
Dann wär ja jeder der sein Macbook M1/2/3 kauft und nicht ständig auf vollast betreibt auch falsch. Es spricht nichts gegen effiziente Komponenten.
Wo ist das Problem? Ich habe jetzt keine Leute gehört die sich über die geringe Laufzeit der Mavbooks beschweren. Jeder X86 PC träumt von der Effizienz des Mac. Phoenix kam näher, aber hat ihn nicht erreicht.

Die M1/2/3 CPU-Kerne sind auf hohe Effizienz ausgelegt. Und was die Topleistung angeht müsse die "schwachbrüstigen" X86-kerne ordentlich Frequenz draufpacken um an den M-kernen von der performance her vorbeizuziehen.

BAR86 schrieb:
bevor man die eigene Fertigung nicht wieder voll im Griff hat macht das durchaus sinn.
Es ist unausweichlich, weil sonst Intel die Fabriken nicht bezahlen kann.

Aber nur weil Intel viel erzählt muss Intel noch lange nicht die ganze Wahrheit erzählen.
BAR86 schrieb:
Vielleicht ist es irgendwann mal so, dass man die eigenen Produkte die performancekritisch sind immer zu ( dann vielleicht besseren) TSMC gibt und die weniger kritischen selbst fertigt bzw. auch als Fremdfertiger sich ein wenig Geld dazuverdient
Wie soll das funktionieren? So lange Intel eigene Fabs hat wird für TSMC nie ein vertrauenswürdiger Kunde sein.

Das Geld das Intel zu TSMC trägt fehlt der eigenen Fertigung und im Budget für die Prozessentwicklung. Es kann nur ein Übergangsszenario sein bis Intel aufholt und die High End Produkte wieder selbst fertigt.

Wenn es Intel nicht schafft dauerhaft aufzuholen, dann hat Intel bei dem aktuellen Investitionsprogramm ein riesen Problem.
BAR86 schrieb:
Ich meine irgendwo mal eine Folie gesehen zu haben da stand, dass man zuerst bei N4 bleibt und dann 1 Jahr später auf 3nm geht.
Eine solche Folie habe ich von AMD nicht gesehen. AMD müsste das Vorgehen bei den CPU-Kernen grundlegend geändert haben, dass so etwas einen Sinn ergibt
BAR86 schrieb:
Aber ja, je nach kapazitäten bei TSMC sind das interessante Entscheidungen für/bei TSMC
TSMC baut die Kapazitäten entsprechend den Bestellungen auf.

BAR86 schrieb:
Mal sehen auch, ob man mit der anfänglichen Führung bei HighNA ( https://www.computerbase.de/2023-12...rstes-high-na-lithografiesystem-an-intel-aus/ )was machen kann.
Wenn die Zahlen stimmen, ist die Frage doch eher warum TSMC Intel den Vortritt lässt.
TSMC 2 nm wird ohne High NA gefertigt.
BAR86 schrieb:
Da es zu beginn ja nur wenige Maschinen sind und die Kapazitäten nicht überragend sein werden, wird sich das (leider) nur für wenige Produktlinien ausgehen. Im unrealistischen Wunschdenken würden wir bald auch Geforce-Konkurrenten in einem überlegenen Prozess sehen
High-NA ist ein Zukunftsthema und wird vielleicht bei 14 nm interessant. Vielleicht aber auch gar nicht.

Das Thema ist erheblich komplexer, als auf den ersten Blick ausschaut.

Ich muss mir den Artikel Mal in Ruhe durchlesen. Ich hab ihn nur überflogen.
 
  • Gefällt mir
Reaktionen: BAR86
ETI1120 schrieb:
ich kann leider erst am Abend ausführlich antworten, sehe aber beim Drüberlesen, dass wir evtl unterschiedliches meinen.
BTW zum Chipletdesign/Bus-Problem gabs mal einen ausführlichen Test von Anandtech, der aufgezeigt hat wie viel IF bei den Zen Threadrippern frisst. Der Artikel, bzw Abschnitt hieß irgendwas in die Richtung "Feed Me infinity fabric"
 
Zuletzt bearbeitet:
ETI1120 schrieb:
Wie soll das funktionieren? So lange Intel eigene Fabs hat wird für TSMC nie ein vertrauenswürdiger Kunde sein.

Das Geld das Intel zu TSMC trägt fehlt der eigenen Fertigung und im Budget für die Prozessentwicklung. Es kann nur ein Übergangsszenario sein bis Intel aufholt und die High End Produkte wieder selbst fertigt.
Ein Beispiel - hypothetisch:
TSMCs Prozess ist besser als Intels.
Fertigung bei TSMC ermöglicht also wieder die Leistungskrone für einzelne CPU Serien.
Produktionskosten bei TSMC mögen da doppelt so hoch sein wie in den eigenen Hallen, aber dafür kann man zigmal mehr abrufen - weil man wieder Highend und Co besetzen kann.

Währenddessen wird die eigene Fertigung entlastet, man kann dort also entweder andere, eigene Chips fertigen (günstigere, die man bei TSMC sonst mit Verlust fertigen müsste) oder aber man lässt andere Hersteller die Produktionskapazitäten benutzen und verdient vielleicht sogar was.

Anderes Beispiel: GPUs. Statt hier die eigenen Produktionsstraßen zu blockieren mit einer eher geringen GPU Auflage (und dafür extra Maske fertigen lassen, etc etc) kann man die voraussichtlich nach wie vor geringen Stückzahlen bei TSMC fertigen lassen. Logistisch macht das teilweise mehr sinn, und weil es auch nur geringe Stückzahlen sind, ist der Verlust im Vergleich zur eigenen Fertigung auch geringer, aber gleichzeitig setzt man selbst mehr Ressourcen für anderes ein.

Das ist natürlich überspitzt formuliert und hypothetisch und während jedes Beispiel tatsächlich solche Vorteile bieten würde, hätte es woanders Nachteile.

Zumal man dann mit der eigenen Fertigung nicht mehr absolut beste sein müsste, es reichen dann andere Schwerpunkte (siehe UMC, GloFo...).
Man würde hier also quasi zweigleisig fahren können und die Highendprodukte bei TSMC Fertigen lassen, die Massenprodukte selbst (denn TSMC wird wohl kurzfristig kaum alle Intel-Chips fertigen können, man kann ja auch AMD nicht genug Chips liefern um Preisdumping zu betreiben und den Markt von 20% auf 80% zu befüllen). Oder die eigene Fertigung zu einer zusätzlichen Geldquelle machen und mit Fremdfertigung auffüllen.
ETI1120 schrieb:
Eine solche Folie habe ich von AMD nicht gesehen. AMD müsste das Vorgehen bei den CPU-Kernen grundlegend geändert haben, dass so etwas einen Sinn ergibt
Es ist nicht allzulange her und ich komme vielleicht später noch dazu. Ich bin aber grad vom Date heimgekommen und muss jetzt mal arbeiten.
ETI1120 schrieb:
TSMC baut die Kapazitäten entsprechend den Bestellungen auf.
Ja natürlich, aber das sind langfristige Prozesse. Ähnlich wie Intel nicht jetzt plötzlich doppelt so viel Produzieren kann wenn sie wollten, dazu müssen halt auch die Fabs stehen, die Logistik passen
ETI1120 schrieb:
Wenn die Zahlen stimmen, ist die Frage doch eher warum TSMC Intel den Vortritt lässt.
TSMC 2 nm wird ohne High NA gefertigt.
Ich gehe mal von einem (Zeitlichen Exklusiv-)Vertrag oder einer Forschungskooperation aus. Vor einigen Jahren hatte Intel noch einen wesentlich größeren Anteil an ASML.
 
Manchmal dauert eine Antwort ein bisschen länger.

Um es vorab zu sagen, ich erwarte nicht dass Intel den Absatz stark steigern kann. Ich sehe in den Märkten wo Intel sehr erfolgreich ist, eher kein Wachstum. Und hier hat Intel schon einen sehr hohen Marktanteil.

BAR86 schrieb:
Ein Beispiel - hypothetisch:

Es gibt genügend Halbleiterunternehmen, die Fabs haben und doch auf Foundries zurückgreifen. Meist ist es so: Die eigenen Fabs (für alte Nodes) und ihre Prozesse sind längst abgeschrieben. In die Kostenrechnung gehen nur die Erhaltungsinvestitionen ein. So lange die Erhaltungsinvestitionen im Rahmen bleiben kommen damit niedrige Waferkosten raus. In diesen Fällen lasten die Unternehmen ihre Fabs aus. Alles was sie darüber hinaus benötigen beziehen sie von Foundries.

Alles was diese Unternehmen in neuen Nodes benötigen, kaufen sie bei Foundries. Eigene Prozesse zu entwickeln und neue Fabs für diese Nodes zu bauen wäre zu teuer.

* AFAIKgibt es bei Spezialprozessen wie SOI auch noch 22/20 nm mit Planarprozessen.

BAR86 schrieb:
TSMCs Prozess ist besser als Intels.
Fertigung bei TSMC ermöglicht also wieder die Leistungskrone für einzelne CPU Serien.
Produktionskosten bei TSMC mögen da doppelt so hoch sein wie in den eigenen Hallen, aber dafür kann man zigmal mehr abrufen - weil man wieder Highend und Co besetzen kann.

Währenddessen wird die eigene Fertigung entlastet, man kann dort also entweder andere, eigene Chips fertigen (günstigere, die man bei TSMC sonst mit Verlust fertigen müsste) oder aber man lässt andere Hersteller die Produktionskapazitäten benutzen und verdient vielleicht sogar was.
Das schöne in hypothetischen Fällen ist, man kann sie sich schön zurecht schnitzen.

Deine Grundanahme ist: TSMC ist teuer und Intel ist billig. Das halte ich für falsch.
Das macht es für Intel zwar billiger Wafer bei TSMC zu kaufen aber erheblich schwerer Aufträge von anderen Unternehmen hereinzuholen.

Lass mich Mal weit ausholen:

Grundsatz für Fixkosten​

Fabs kosten heute mehrere Milliarden USD. Das entwickeln von Prozessen kostet heute mehrere Milliarden USD. Je mehr Wafer die Fab fertigt, desto niedriger sind die Fixkosten je Wafer. Je mehr Wafer mit einem Prozess gefertigt werden, desto geringer sind die Fixkosten je Wafer.

Waferkapazität​


Ich habe keine aktuellen Zahlen über die Waferkapazitäten der Unternehmen.
Nur alte Zahlen, alle basieren auf IC Insight:

1703330526726.png


1703330594059.png

https://www.semimedia.cc/9320.html
1703330773832.png

2016 waren nur die 3 großen Hersteller von DRAM vor TSMC. 2020 war nur noch Samsung vor TSMC. TSMC hat mit großen Abstand die höchste Logik Kapazität.

Alleine die 300 mm Wafer betrachtet, hatte TSMC 2020 ca. die 2,5-fache Kapazität von Intel.

Intel ist von 2016 auf 2020 zurückgefallen. Einerseits wurde das Joint Venture mit Micron aufgelöst und die Fabs gingen an Micron, andererseits hat Intel die Kapazitäten ausgebaut, um die größeren Dies der CPUs abdecken zu können. TSMC hat seit 7 nm für jeden neuen Node mindestens 4 Phasen mit ca. 30 000 bis 35 000 wpm hingestellt.

TSMC gibt die Zahlen in 300 mm equivalents je Jahr an. Ich finde die Darstellung seltsam, aber es gibt nichts besseres.
1703331113684.png

https://www.tsmc.com/english/dedicatedFoundry/manufacturing/fab_capacity

Die Kapazität gilt für alle Prozess. In diesem Zeitraum hat TSMC nur Fabs für neue Nodes in Betrieb genommen.

Qualitative Abschätzung der Fixkosten​


Meiner Ansicht nach spricht alleine die Economy of Scale für einen Kostenvorteil für TSMC. Hinzu kommt dass TSMC die Fabs bündelt und an billigeren Standorten als Intel stehen hat. Das spricht für einen massiven Kostenvorteil von TSMC gegenüber Intel.

Und in diesem Zusammenhang verstehe ich Intels Investitionsprogramm. Intel ist von der Kapazität ein Zwerg gegen TSMC. Das versucht Pat Gelsinger zu ändern, in dem er mehr als 100 Milliarden für Fabs und deren Ausrüstung ausgeben will. Und dennoch kann er nicht mit dem Expansionstempo von TSMC mithalten. Und Intel verteilt die Investitionen auf viele Standorte was zwar Subventionen bringt aber die Baukosten steigert.

Ich gehe von aus folgendem aus:
  1. Wenn Intel das Geld für neue Prozesse und Fabs ausgibt, muss Intel die neuen Prozesse verwenden und die Fab-Kapazität auslasten. Sonst fressen die Kosten Intel auf.
  2. Wenn Intel dauerhaft bei TSMC fertigen lassen will, müssen sie die eigenen Prozessentwicklung und den Ausbau der eigenen Kapazität einfrieren. Folgt unmittelbar aus 1.
  3. Intel macht auch zwei Jahre nach Ankündigung von IDM 2.0 praktisch keinen Umsatz durch Fremdfertigung. Daran wird sich aller Voraussicht nach auch in den nächsten 2 Jahren wenig ändern.
  4. Die Vergabe der High End Fertigung zu TSMC, kann beim weiter Betreiben einer High End Fertigung allenfalls eine Überbrückungshilfe sein. Davon geht auch TSMC aus.

Intel kann nur dann mit TSMC kostenmäßig mithalten, wenn Intel je Node eine vergleichbare Kapazität hinstellt und auslastet.

Variable Kosten​

Um die variablen Kosten im Griff zu halten hat TSMC bisher Gigafabs gebaut. Auch bei den variablen Kosten wirken sich die Fabstandorte aus. Deshalb erwarte ich, dass TSMC auch bei den variablen Kosten besser als Intel dasteht.

Eindeutige Indizien für die Kostensituation​

TSMC ist im Gegensatz zu Intel hoch profitabel und hat Intel zudem auch im Umsatz überholt. Da Intel die Fabs durch Schulden finanzieren muss, werden neben den Abschreibungen auch mehr und mehr Zinsen anfallen, die das Unternehmen belasten.

Ein Blick auf die Bilanzen der Kunden von TSMC empfinde ich als Bestätigung. AMD hat momentan bessere Margen als Intel obwohl AMD praktisch ausschließlich beim angeblich teuren TSMC fertigen lässt und Intel hauptsächlich auf die angeblich preiswerte eigene Fertigung setzt.

Zu Deinem Szenario​

Also ich verstehe nicht wo in einem Szenario mit Prozessentwicklung und Neubau von Fabs ein Vorteil von Intel sein soll, dauerhaft bei TSMC zu fertigen. Jeder Wafer den Intel an TSMC vergibt, verteuert die restlichen Wafer, die bei Intel gefertigt werden und trägt Cash zu TSMC. Intel untergräbt damit die eigene Wettbewerbsfähigkeit.

Als Auftragsfertiger gegen TSMC zu agieren wird auch Zuckerschlecken. Samsung kann nur bestehen weil die sogenannte Foundry massiv vom Konzern subventioniert wird.

Nur falls Intel sich auf fixe Kapazitäten festlegt und wenn diese ausgelastet sind, kann es einen Sinn ergeben, bei TSMC zu fertigen. In diesem Fall würde man sich, den Bau einer Fab sparen. Aber alleine schon dieser Satz ist für ein Unternehmen grotesk, das sich als IDM versteht. Aber, wie gesagt Intel baut die Waferkapazität massiv aus.

Sobald Intel intern und extern fertigen lässt, haben wir Szenarien in denen das Chipdesign für ein Produkt doppelt erstellt werden muss. Je Chipdesign reden wir von 2 bis 3 stelligen Beträgen in Millionen USD. Das Geld wird bei Intel in Zukunft nicht mehr so locker sitzen.

In einer Phase bei der das Unternehmen gerade so eine schwarze Null schreibt, ist ein Investitionsprogramm von 100 Milliarden USD eine Belastung für die Finanzen.

BAR86 schrieb:
Anderes Beispiel: GPUs. Statt hier die eigenen Produktionsstraßen zu blockieren mit einer eher geringen GPU Auflage (und dafür extra Maske fertigen lassen, etc etc) kann man die voraussichtlich nach wie vor geringen Stückzahlen bei TSMC fertigen lassen. Logistisch macht das teilweise mehr sinn, und weil es auch nur geringe Stückzahlen sind, ist der Verlust im Vergleich zur eigenen Fertigung auch geringer, aber gleichzeitig setzt man selbst mehr Ressourcen für anderes ein.
Wenn die eigene Kapazität nicht ausreicht vergibt man an Foundries.

Das macht Intel schon seit vielen Jahren. Damit konnte Intel bestehende Fabs auf neue Nodes umrüsten.

Andererseits sieht man daran auch wie wenig erfolgreich Intel als Auftragsfertiger war. Hätte Intel diese Fabs im alten Node auslasten können, dann hätte Intel das teure neue Equipment in eine neue Halle gestellt und hätte mit dem alten Equipment Geld verdient. So hat man das alte Equipment eingelagert oder verschrottet.

In deiner Argumentation fällt ständig "Fertigung entlasten". Das passt nur, wenn ich mit fixer Fertigungskapazität agiere und nicht mehr in die Erweiterung meiner Halbleiterfertigung investieren will. Aber genau das trifft nicht auf Intel zu. Intel will die Kapazität massiv erweitern.

BAR86 schrieb:
Zumal man dann mit der eigenen Fertigung nicht mehr absolut beste sein müsste, es reichen dann andere Schwerpunkte (siehe UMC, GloFo...).
AMD hat erkennen müssen, dass ihre Halbleiterfertigung nicht mehr konkurrenzfähig ist. Es hat einige Milliarden aus Abu Dhabi gekostet und trotzdem war GF nicht wettbewerbsfähig. Irgendwann wollte Abu Dhabi nicht noch mehr nachschießen.

Nur durch den Halbleiterengpass konnte GF Gewinne erzielen und durch ein IPO an die Börse gehen. Die Kapazitäten wurde ausgebaut und vor allem die Preise je Wafer erhöht. Bisher hat sich GF gut gehalten, Mal schauen wie lange die Kunden höhere Preise als bei TSMC und den anderen Foundries akzeptieren.

Und ohne die Lizenz für 14 nm von Samsung sähe es erheblich schlechter für GF aus. Nur durch diesen Deal konnte AMD Zen bei GF fertigen lassen.

Wenn die Kapazität von Intel zu TSMC wandert, muss Intel im gleichen Maße Kunden für die eigenen Fabs finden. In Konkurrenz zu TSMC Kunden zu bekommen hat selbst Samsung nicht dauerhaft geschafft. Letztendlich landen alle großen Kunden von Samsung bei TSMC.

Zur Krise und ihrer Bewältigung​

Brian Krzanich hat mehrere Jahre vergeudet.
Bob Swan war von vorne herein als interim CEO gedacht. Außerdem kommt er von der kaufmännischen Seite. Er ging zu TSMC und hat Kapazitäten gebucht. Einige sagen, dass er damit Druck bei den Prozessleuten von Intel aufbauen wollte. Er konnte und wollte keine Entscheidung treffen ob Intel weiter Halbleiter fertigen solle.

Als Pat Gelsinger zurückgekommen ist, war ihm schnell klar, dass es nur die Flucht nach vorne gibt. Ohne größeres Volumen kann die Fertigung nicht beim Rennen um die neuesten Nodes mithalten. Intel braucht Zugriff auf die neuesten Nodes. Ohne Intel als Kunde ist die Halbleiterfertigung nichts wert. Einen Bilanzposten von damals IIRC 60 Milliarden USD auf 1 USD abzuschreiben*) käme dem Einschlag eines großen Meteoriten gleich.

Der einzige Weg für Intel die Halbleiterfertigung zu erhalten ist bei den Prozessen wieder aufschließen, Kapazitäten aufbauen um Auftragsfertiger werden zu können. Mit größerem Volumen hat die Fertigung bei Intel eine Chance. Aber dieser Weg ist teuer und riskant. Jeder USD den Intel zu TSMC trägt fehlt Intel für das Finanzieren der eigenen Fertigung.

* Mit der klaren Maßgabe, dass Intel geht und in Anbetracht dem Desaster bei Global Foundries wäre es IMO unmöglich gewesen einen Abnehmer für die Fabs zu finden. Wer übernimmt die Fertigung von Intel, wenn Intel kein langjähriges Wafer Supply Aggrement unterschreibt? IBM hat GF dafür bezahlt das GF die Halbleiter Fabs zu übernimmt. Und GF hat rückblickend sehr schlecht verhandelt. Und AMD hatte auf der einen Seite Dusel jemanden gefunden zu haben der sich das angetan hat. Auf der anderen Seite haben die Wafer Supply Agreements AMD beinahe ruiniert.

BAR86 schrieb:
Man würde hier also quasi zweigleisig fahren können und die Highendprodukte bei TSMC Fertigen lassen, die Massenprodukte selbst (denn TSMC wird wohl kurzfristig kaum alle Intel-Chips fertigen können, man kann ja auch AMD nicht genug Chips liefern um Preisdumping zu betreiben und den Markt von 20% auf 80% zu befüllen).
Kurzfristig geht in diesem Geschäft recht wenig.

Wir hatten eine Phase bei der AMD mehr hätte absetzen können, gefolgt von einer Phase bei der die OEMs zuerst ihre überfüllten Lager räumen mussten.

1703760330587.png

In 2020 und 2021 hat AMD einen Wachstumsschub um den Faktor 2,7 hingelegt. Ich habe extra 2022 draußengelassen, weil da die Xilinx-Übernahme die Zahlen aufbläst. In dieser Phase ist Intel stagniert, obwohl Intel eigene Fabs hatte.

Bei einem solch massiven Wachstum gibt es immer ein begrenzender Faktor. Meist ist es die Nachfrage, zu dieser Zeit waren die Substrate. Nicht TSMC.

Im übrigen sind in dieser Phase alle großen TSMC Kunden gewachsen.

In der Phase bei der die OEMs zuerst die gefüllten Lager räumen mussten und der PC-Absatz noch weiter zurückging haben AMD und Intel gelitten.

Im übrigen ist Preisdumping wettbewerbswidriges Verhalten, was nur von großen Konzernen eingesetzt wird, wenn sie sich in einem neuen Markt breitmachen wollen. Preiskriege kennen meist nur Verlierer.

BAR86 schrieb:
Oder die eigene Fertigung zu einer zusätzlichen Geldquelle machen und mit Fremdfertigung auffüllen.
Hört sich alles ganz nett an. Aber die Aufträge muss Intel erst mal holen. Und das wird das eigentlich interessante Thema für Intel. Ich hoffe Pat Gelsinger hat die Fertigungsaufträge für die ganzen Fabs, die er hinstellt, schon in der Tasche.

Wer waren die Kunden bei der Fremdfertigung bei Intel?
Ich weiß von Altera*) (Aktuell Intels PSG), Actronix und Tabula. Wer sonst noch?

So sonderlich erfolgreich war Intel nicht, obwohl sie im Prozess weit vorne lagen. Es gehört schon erheblich mehr dazu ein Fremdfertiger zu sein als Fabs und einen tollen Prozess zu haben. Intel benötigt für jeden Prozess den sie Kunden anbieten wollen ein PDK und IP. Intel muss bereit sein auf die Bedürfnisse der Kunde einzugehen. Und vor allem braucht Intel Kunden.

Intel hätte den Vorteil gegenüber den kleinen Foundries auch 7 nm anbieten zu können, während die kleinen Foundries bestenfalls 14/16 nm anbieten können. Aber in dem Maße in dem Intel Kapazität zu TSMC schaufelt müssen neue Fremdfertigungsaufträge geholt werden, damit die eigene Kapazität nicht leersteht. Gegen TSMC. Gegen Samsung.

* AFAIK ist Altera zu Intel gegangen weil Xilinx vom Samsung zu TSMC gewechselt ist.

BAR86 schrieb:
Es ist nicht allzulange her und ich komme vielleicht später noch dazu. Ich bin aber grad vom Date heimgekommen und muss jetzt mal arbeiten.
BAR86 schrieb:
Hi! Ich hab zufällig über eine 3dcenter-News die Folie wieder gefunden(falls es noch von Interesse ist):

https://www.3dcenter.org/abbildung/amd-cpu-kern-roadmap-2019-2024

Die Folie ist vom FAD 2022. Mark Papermaster hat beim Präsentieren der Folie gesagt "in 4 nm and 3 nm nodes".


Es war definitiv nicht die Rede von zuerst 4 nm und dann 3 nm. Ansonsten gab es auf dem FAD 2022 keine weiteren Hinweise welche Dies in 4 nm und welche in 3 nm gefertigt werden.

Im übrigen bitte auch genau hinhören was Mark Papermaster direkt danach zur Zusammenarbeit mit TSMC sagt. Wer in modernen Prozessen fertigt und das Optimum herausholen will muss Prozess und Chipdesign aufeinander abstimmen. Bei den Kosten aktueller Prozesse muss man das Optimum herausholen.

In diesem Frühjahr veröffentliche DigiTimes die wirre Geschichte, dass die Kunden von TSMC für 2024 den 3 nm Node skippen würden. So ziemlich zeitleich tauchte eine angebliche Server Road Map von AMD bei MLID auf. MLID behaupte dass AMD geht erst 2025 auf 3 nm geht. Kurz danach hatte er ein Gespräch mit Daniel Nenni von SemiWikki.

Als Tom von MLID gesagt hat, dass AMD vorerst nicht auf 3 nm geht, hat ihm Daniel Nenni nur geantwortet dass AMD auf 3 nm geht. Das ging 2 oder 3 Mal hin und her. Seit diesem Gespräch hört sich diese Story bei MLID ein bisschen anders an.

Seit 2020 geht die Geschichte um, dass Intel bei TSMC fertigen lassen will. 3 nm war dabei immer ein Thema. Es fällt mir sehr schwer zu glauben, dass AMD beim eigenen Fertigungspartner Intel den Fertigungsvorteil überlässt.

Vor allem wenn die CCDs sowohl von der Die-Fläche als auch von der benötigten IP für einen frühen Start auf einem Node prädestiniert sind. Ganz davon abgesehen, dass dieser Node von Apple eingefahren wurde und N3E ebenfalls schon ausgereift. Zen 3 kam 2020 zu früh für 5 nm. Zen 4 war ursprünglich Ende Q1 2022 vorgesehen. Zen 5 ist Mitte 2024 und liegt ähnlich zu N3 wie Zen 2 zu 7 nm. Außerdem passt dieser Zeithorizont zu N3E.

Aber wir werden es ja bald wissen, ob ich mich irre oder nicht.

BAR86 schrieb:
Ja natürlich, aber das sind langfristige Prozesse. Ähnlich wie Intel nicht jetzt plötzlich doppelt so viel Produzieren kann wenn sie wollten, dazu müssen halt auch die Fabs stehen, die Logistik passen
Wo soll da das Problem sein? Die Entscheidung welchen Node man für ein Produkt verwendet, fällt auch Jahre im voraus. 3 oder 4 Jahre geben TSMC genug Zeit die Kapazität aufzubauen.

Wenn ein Kunde im Mai feststellt, dass man im Juni 10 000 wpm mehr benötigt, kann die TSMC nur bereitstellen, wenn entsprechend Kapazität frei ist.

BAR86 schrieb:
Ich gehe mal von einem (Zeitlichen Exklusiv-)Vertrag oder einer Forschungskooperation aus. Vor einigen Jahren hatte Intel noch einen wesentlich größeren Anteil an ASML.
Das möchte Intel gerne glauben machen.

Da Intel nur 6 von 10 bekommt ist da nichts exklusiv.

Einige Leute stellen eher die Frage was Intel mit High NA EUV will. Mit BSPD kann Intel den Metal Pitch relaxen und benötigt ihrer Meinung eigentlich gar kein High NA EUV. Angesichts von mehr als 100 Milliarden im Investitionsprogramm spielen diese ca 2 Mrd. USD auch keine so große Rolle mehr. Dem Aktienkurs hat das ganze sehr geholfen.

2012 sind Intel, Samsung und TSMC zeitgleich mit insgesamt 23% eingestiegen. Intel hatte 15 %, TSMC 8 % und Samsung 5 %. Damit haben sie ASML frisches Geld zugeschossen.so dass ASML die EUV-Maschinen auf die Straße bringen kann.

Wie viel die drei im einzelnen jetzt noch halten weiß ich nicht. Mit dem Erfolg von EUV konnten die drei ihre Anteile mit einem hübschen Gewinn verkaufen.

TSMC ist der größte Kunde von ASML. Und wenn TSMC Intel den Vortritt lässt hat TSMC Gründe dafür. Die Strukturverkleinerung des Metall-Pitch ist AFAIU nicht mehr der hauptsächliche Treiber der weiteren Steigerung der Transistordichte.

BAR86 schrieb:
BTW zum Chipletdesign/Bus-Problem gabs mal einen ausführlichen Test von Anandtech, der aufgezeigt hat wie viel IF bei den Zen Threadrippern frisst. Der Artikel, bzw Abschnitt hieß irgendwas in die Richtung "Feed Me infinity fabric"
Quelle siehe Folie
1703761524180.png

Ryzen/EPYC ist On-Package, Navi 31 ist Advanced Packaging und 3D V-Cache ist 3D Stacked.
3D Stacked ist aber nicht die richtige Bezeichnung, den die Effizienz kommt hauptsächlich vom Hybrid Bonding und nicht vom Stapeln.

Die Übertragungseffizienz der On-Die-Metallisierung liegt AFAIU im Bereich, der hier für 3D-Stacked angegeben ist. Ich denke aber in der Tendenz etwas darüber.

Es ist keine Frage, dass bei AMD einiges bei der Datenübertragung über das Infinity Fabric drauf geht.
Das Übertragen eines Bits über das Substrat kostet mit 2 pJ. So ist die übereinstimmende Angabe in vielen Vorträgen und Veröffentlichungen.

Der Punkt ist aber, wieso ist AMD bei Volllast vor allem beim Server effizienter als Intel? Und hier kommen wir sehr schnell zur Topologie der Kommunikation. Hier macht AMD offensichtlich einiges richtig und das kann die im Vergleich zu Intel ineffiziente off-Chip Verbindung kompensieren.

Das Verhältnis 1:4 zwischen der Effizienz von Substrat und Advanced Packaging kenne ich schon länger. Deshalb hatte ich erwartet, dass bei Zen 4 auf Fanout wechselt. So wie bei Navi 31. Aber offensichtlich ist das Substrat im Gesamtpaket für Zen 4 die bessere Wahl.

Zu dem Thema Leerlauf bitte auch diesen Post beachten (Anderer Thread): https://www.computerbase.de/forum/t...0-und-a620-im-vergleich.2106637/post-28954685
 
  • Gefällt mir
Reaktionen: BAR86
Zurück
Oben